Journal: IEEE Trans. VLSI Syst.

Volume 25, Issue 9

2393 -- 0Krishnendu Chakrabarty, Massimo Alioto, Rajiv V. Joshi. Editorial
2394 -- 2401Ramtin Zand, Arman Roohi, Ronald F. DeMara. Energy-Efficient and Process-Variation-Resilient Write Circuit Schemes for Spin Hall Effect MRAM Device
2402 -- 2410Yelim Youn, Kwangmin Kim, Jae-Yoon Sim, Hong June Park, Byungsub Kim. Investigation on the Worst Read Scenario of a ReRAM Crossbar Array
2411 -- 2418Amit Kazimirsky, Adam Teman, Noa Edri, Alexander Fish. A 0.65-V, 500-MHz Integrated Dynamic and Static RAM for Error Tolerant Applications
2419 -- 2433Mingyu Wang, Zhaolin Li. A Spatial and Temporal Locality-Aware Adaptive Cache Design With Network Optimization for Tiled Many-Core Architectures
2434 -- 2448Tong-Yu Hsieh, Tsung-Liang Chih, Mei-Jung Wu. Cost-Effective Enhancement on Both Yield and Reliability for Cache Designs Based on Performance Degradation Tolerance
2449 -- 2457Randy W. Mann, Sandeep Puri, Sheng Xie, Daniel Marienfeld, Joseph Versaggi, Bianzhu Fu, Michael Gribelyuk, Ratheesh R. Thankalekshmi, Xiaoqiang Zhang, Hui Zang, Chad E. Weintraub. Array Termination Impacts in Advanced SRAM
2458 -- 2471Ryan Gary Kim, Wonje Choi, Zhuo Chen, Janardhan Rao Doppa, Partha Pratim Pande, Diana Marculescu, Radu Marculescu. Imitation Learning for Dynamic VFI Control in Large-Scale Manycore Systems
2472 -- 2485Chen Hou, Qianchuan Zhao. Stopping-Time Management of Smart Sensing Nodes Based on Tradeoffs Between Accuracy and Power Consumption
2486 -- 2497Carl Ingemarsson, Petter Kallstrom, Fahad Qureshi, Oscar Gustafsson. Efficient FPGA Mapping of Pipeline SDF FFT Cores
2498 -- 2511Dawei Li, Siddhartha Joshi, Ji-Hoon Kim, Seda Ogrenci Memik. End-to-End Analysis of Integration for Thermocouple-Based Sensors Into 3-D ICs
2512 -- 2524Palkesh Jain, Vivek Mishra, Sachin S. Sapatnekar. Fast Stochastic Analysis of Electromigration in Power Distribution Networks
2525 -- 2537Weina Lu, Yu Hu, Jing Ye, Xiaowei Li. Going Cooler With Timing-Constrained TeSHoP: A Temperature Sensing-Based Hotspot-Driven Placement Technique for FPGAs
2538 -- 2551Divya Pathak, Houman Homayoun, Ioannis Savidis. Smart Grid on Chip: Work Load-Balanced On-Chip Power Delivery
2552 -- 2560Shuai Chen, Luke Wang, Hong Zhang, Rosanah Murugesu, Dustin Dunwell, Anthony Chan Carusone. All-Digital Calibration of Timing Mismatch Error in Time-Interleaved Analog-to-Digital Converters
2561 -- 2574Taeyoung Kim, Zeyu Sun, Hai-Bao Chen, Hai Wang, Sheldon X.-D. Tan. Energy and Lifetime Optimizations for Dark Silicon Manycore Microprocessor Considering Both Hard and Soft Errors
2575 -- 2587Nijwm Wary, Pradip Mandal. Current-Mode Triline Transceiver for Coded Differential Signaling Across On-Chip Global Interconnects
2588 -- 2601Subrahmanyam Mula, Vinay Chakravarthi Gogineni, Anindya Sundar Dhar. Algorithm and Architecture Design of Adaptive Filters With Error Nonlinearities
2602 -- 2615Miao He, Gustavo K. Contreras, Dat Tran, LeRoy Winemberg, Mark Tehranipoor. Test-Point Insertion Efficiency Analysis for LBIST in High-Assurance Applications
2616 -- 2624Xiaoliang Dai, Niraj K. Jha. Using a Device State Library to Boost the Performance of TCAD Mixed-Mode Simulation
2625 -- 2634Na Gong, Seyed Alireza Pourbakhsh, Xiaowei Chen, Xin Wang, Dongliang Chen, Jinhui Wang. SPIDER: Sizing-Priority-Based Application-Driven Memory for Mobile Video Applications
2635 -- 2648Chun-Hsing Li, Chun-Lin Ko, Ming-Ching Kuo, Da-Chiang Chang. a-Band Mixer With Configurable Bondwire Resonators in 65-nm CMOS
2649 -- 2657Sihwan Kim, Sahil Shah, Jennifer Hasler. Calibration of Floating-Gate SoC FPAA System
2658 -- 2662Xiang Feng, Shuguo Li. Design of an Area-Effcient Million-Bit Integer Multiplier Using Double Modulus NTT
2663 -- 2667Vicente Torres, Javier Valls. A Fast and Low-Complexity Operator for the Computation of the Arctangent of a Complex Number
2668 -- 2672Sheis Abolma'ali, Nika Mansouri-Ghiasi, Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram. Efficient Critical Path Identification Based on Viability Analysis Method Considering Process Variations
2673 -- 2677Priyadarshini Panda, Swagath Venkataramani, Abhronil Sengupta, Anand Raghunathan, Kaushik Roy. Energy-Efficient Object Detection Using Semantic Decomposition
2678 -- 2682Qiaosha Zou, Eren Kursun, Yuan Xie. Thermomechanical Stress-Aware Management for 3-D IC Designs

Volume 25, Issue 8

2193 -- 2205Chenyuan Zhao, Yang Yi, Jialing Li, Xin Fu, Lingjia Liu. Interspike-Interval-Based Analog Spike-Time-Dependent Encoder for Neuromorphic Processors
2206 -- 2219Hoang Anh Du Nguyen, Lei Xie, Mottaqiallah Taouil, Razvan Nane, Said Hamdioui, Koen Bertels. On the Implementation of Computation-in-Memory Parallel Adder
2220 -- 2233Fengbin Tu, Shouyi Yin, Peng Ouyang, Shibin Tang, Leibo Liu, Shaojun Wei. Deep Convolutional Neural Network Architecture With Reconfigurable Computation Patterns
2234 -- 2247Pang-Yen Chou, Nai-Chen Chen, Mark Po-Hung Lin, Helmut Graeb. Matched-Routing Common-Centroid 3-D MOM Capacitors for Low-Power Data Converters
2248 -- 2257Abinash Mohanty, Ketul B. Sutaria, Hiromitsu Awano, Takashi Sato, Yu Cao. RTN in Scaled Transistors for On-Chip Random Seed Generation
2258 -- 2270Neel Gala, Swagath Venkataramani, Anand Raghunathan, V. Kamakoti. Approximate Error Detection With Stochastic Checkers
2271 -- 2284Soonyoung Cha, Taizhi Liu, Linda Milor. Negative Bias Temperature Instability and Gate Oxide Breakdown Modeling in Circuits With Die-to-Die Calibration Through Power Supply and Ground Signal Measurements
2285 -- 2295Chaudhry Adnan Aslam, Cai Kui, Yong Liang Guan. Mitigating Stuck Cell Failures in MLC NAND Flash Memory via Inferred Erasure Decoding
2296 -- 2306Reda Boumchedda, Jean-Philippe Noel, Bastien Giraud, Kaya Can Akyel, Melanie Brocard, David Turgis, Edith Beigné. High-Density 4T SRAM Bitcell in 14-nm 3-D CoolCube Technology Exploiting Assist Techniques
2307 -- 2320Safeen Huda, Jason Helge Anderson. Leveraging Unused Resources for Energy Optimization of FPGA Interconnect
2321 -- 2331Peng Cao, Bo Liu, Jinjiang Yang, Jun Yang, Meng Zhang, Longxing Shi. Context Management Scheme Optimization of Coarse-Grained Reconfigurable Architecture for Multimedia Applications
2332 -- 2345Claudia Patricia Renteria-Mejia, Jaime Velasco-Medina. High-Throughput Ring-LWE Cryptoprocessors
2346 -- 2359Ching-Wen Lin, Chung-Ho Chen. A Processor and Cache Online Self-Testing Methodology for OS-Managed Platform
2360 -- 2370ChaiYong Lim, Debashis Mandal, Bertan Bakkaloglu, Sayfe Kiaei. A 50-mA 99.2% Peak Current Efficiency, 250-ns Settling Time Digital Low-Dropout Regulator With Transient Enhanced PI Controller
2371 -- 2382Liang Wu, Alan W. L. Ng, Shiyuan Zheng, Hiu Fai Leung, Yue Chao, Alvin Li, Howard C. Luong. A 0.9-5.8-GHz Software-Defined Receiver RF Front-End With Transformer-Based Current-Gain Boosting and Harmonic Rejection Calibration
2383 -- 2387Ngoc Le Ba, Tony Tae-Hyoung Kim. Design of Temperature-Aware Low-Voltage 8T SRAM in SOI Technology for High-Temperature Operation (25 %C-300 %C)
2388 -- 2392Telajala Venkata Mahendra, Sandeep Mishra, Anup Dandapat. Self-Controlled High-Performance Precharge-Free Content-Addressable Memory

Volume 25, Issue 7

1993 -- 2006Sheng Wang, Chen Chen, Xiao-Yan Xiang, Jian-yi Meng. A Variation-Tolerant Near-Threshold Processor With Instruction-Level Error Correction
2007 -- 2016Hiroshi Fuketa, Shin-ichi O'Uchi, Takashi Matsukawa. A Closed-Form Expression for Minimum Operating Voltage of CMOS D Flip-Flop
2017 -- 2026Saman Kiamehr, Mojtaba Ebrahimi, Mohammad Saber Golanbari, Mehdi Baradaran Tahoori. Temperature-Aware Dynamic Voltage Scaling to Improve Energy Efficiency of Near-Threshold Computing
2027 -- 2034Hochul Lee, Albert Lee, Shaodi Wang, Farbod Ebrahimi, Puneet Gupta, Pedram Khalili Amiri, Kang L. Wang. A Word Line Pulse Circuit Technique for Reliable Magnetoelectric Random Access Memory
2035 -- 2044Prabal Basu, Rajesh Jayashankara Shridevi, Koushik Chakraborty, Sanghamitra Roy. IcoNoClast: Tackling Voltage Noise in the NoC Power Supply Through Flow-Control and Routing Algorithms
2045 -- 2058Dae-Hyun Kim, Linda Milor. An ECC-Assisted Postpackage Repair Methodology in Main Memory Systems
2059 -- 2070Fatemeh Refan, Bijan Alizadeh, Zainalabedin Navabi. Bridging Presilicon and Postsilicon Debugging by Instruction-Based Trace Signal Selection in Modern Processors
2071 -- 2080Raviteja P. Reddy, Amit Acharyya, S. Saqib Khursheed. A Cost-Effective Fault Tolerance Technique for Functional TSV in 3-D ICs
2081 -- 2094Marta Ortín-Obón, Mahdi Tala, Luca Ramini, Víctor Viñals Yúfera, Davide Bertozzi. Contrasting Laser Power Requirements of Wavelength-Routed Optical NoC Topologies Subject to the Floorplanning, Placement, and Routing Constraints of a 3-D-Stacked System
2095 -- 2108Chenchen Fu, Yingchao Zhao, Minming Li, Chun Jason Xue. Maximizing Common Idle Time on Multicore Processors With Shared Memory
2109 -- 2117Moongon Jung, Taigon Song, Yarui Peng, Sung Kyu Lim. Design Methodologies for Low-Power 3-D ICs With Advanced Tier Partitioning
2118 -- 2129Kyungwook Chang, Kartik Acharya, Saurabh Sinha, Brian Cline, Greg Yeric, Sung Kyu Lim. Impact and Design Guideline of Monolithic 3-D IC at the 7-nm Technology Node
2130 -- 2143Nuno Neves, Pedro Tomás, Nuno Roma. Adaptive In-Cache Streaming for Efficient Data Management
2144 -- 2152Dina M. Ellaithy, Magdy A. El-Moursy, Ghada H. Ibrahim, Amal Zaki, Abdelhalim Zekry. Double Logarithmic Arithmetic Technique for Low-Power 3-D Graphics Applications
2153 -- 2163Zhe Yuan, Yongpan Liu, Jinyang Li, Jingtong Hu, Chun Jason Xue, Huazhong Yang. CP-FPGA: Energy-Efficient Nonvolatile FPGA With Offline/Online Checkpointing Optimization
2164 -- 2173Hesheng Lin, Wing Chun Chan, Wai-Kwong Lee, Zhirong Chen, Mansun Chan, Min Zhang. High-Current Drivability Fibonacci Charge Pump With Connect-Point-Shift Enhancement
2174 -- 2182Sami Ur Rehman, Ayman Shabra. A Temperature Estimation Method Using the Ratio of Emitter-to-Base Voltages
2183 -- 2187Weize Yu, Selçuk Köse. Security-Adaptive Voltage Conversion as a Lightweight Countermeasure Against LPA Attacks
2188 -- 2192Ahmad Hiasat. 2n+p}

Volume 25, Issue 6

1793 -- 1806Jinho Lee, Jongwook Chung, Jung Ho Ahn, Kiyoung Choi. Excavating the Hidden Parallelism Inside DRAM Architectures With Buffered Compares
1807 -- 1820Xiuyuan Bi, Mengjie Mao, Danghui Wang, Hai Helen Li. Cross-Layer Optimization for Multilevel Cell STT-RAM Caches
1821 -- 1830JaeHyun Seo, Sangheon Lee, Kwangmin Kim, Sooeun Lee, Hyunsang Hwang, Byungsub Kim. Automatic ReRAM SPICE Model Generation From Empirical Data for Fast ReRAM-Circuit Coevaluation
1831 -- 1841Muhammad Sanaullah, Masud H. Chowdhury. Analytical Models of High-Speed RLC Interconnect Delay for Complex and Real Poles
1842 -- 1855Khaled E. Ahmed, Mohamed R. Rizk, Mohammed M. Farag. Overloaded CDMA Crossbar for Network-On-Chip
1856 -- 1865Sung Joo Park, Bumhee Bae, Joungho Kim, Madhavan Swaminathan. Application of Machine Learning for Optimization of 3-D Integrated Circuits and Systems
1866 -- 1880Pouya Taatizadeh, Nicola Nicolici. Emulation Infrastructure for the Evaluation of Hardware Assertions for Post-Silicon Validation
1881 -- 1894Sandeep Chandran, Preeti Ranjan Panda, Smruti R. Sarangi, Ayan Bhattacharyya, Deepak Chauhan, Sharad Kumar. Managing Trace Summaries to Minimize Stalls During Postsilicon Validation
1895 -- 1905Yue Ma, Thidapat Chantem, Robert P. Dick, Xiaobo Sharon Hu. Improving System-Level Lifetime Reliability of Multicore Soft Real-Time Systems
1906 -- 1918Ze-ke Wang, Johns Paul, Bingsheng He, Wei Zhang. Multikernel Data Partitioning With Channel on OpenCL-Based FPGAs
1919 -- 1929Kaifeng Xia, Bin Wu, Tao Xiong, Tian-Chun Ye. A Memory-Based FFT Processor Design With Generalized Efficient Conflict-Free Address Schemes
1930 -- 1942Saleh Abdel-Hafeez, Ann Gordon-Ross. An Efficient O(N) Comparison-Free Sorting Algorithm
1943 -- 1953Yongyuan Li, Zhangming Zhu. A 30-W 90% Efficiency Dual-Mode Controlled DC-DC Controller With Power Over Ethernet Interface for Power Device
1954 -- 1965Xiang Zhang, Liter Siek. An 80.4% Peak Power Efficiency Adaptive Supply Class H Power Amplifier for Audio Applications
1966 -- 1977Andrew Nicholson, Astria Nur Irfansyah, Julian Jenkins, Tara Julia Hamilton, Torsten Lehmann. A Statistical Design Approach Using Fixed and Variable Width Transconductors for Positive-Feedback Gain-Enhancement OTAs
1978 -- 1982Aibin Yan, Zhengfeng Huang, Maoxiang Yi, Xiumin Xu, Yiming Ouyang, Huaguo Liang. Double-Node-Upset-Resilient Latch Design for Nanoscale CMOS Technology
1983 -- 1987Karama M. Al-Tamimi, Kamal El-Sankary. Preweighted Linearized VCO Analog-to-Digital Converter
1988 -- 1992Irith Pomeranz. Selecting Replacements for Undetectable Path Delay Faults

Volume 25, Issue 5

1593 -- 1600Jing Guo, Lei Zhu, Wenyi Liu, Hai Huang, Shanshan Liu, Tianqi Wang, Liyi Xiao, Zhigang Mao. Novel Radiation-Hardened-by-Design (RHBD) 12T Memory Cell for Aerospace Applications in Nanoscale CMOS Technology
1601 -- 1610Xiaole Cui, Xiaoxin Cui, Yewen Ni, Min Miao, Yufeng Jin. An Enhancement of Crosstalk Avoidance Code Based on Fibonacci Numeral System for Through Silicon Vias
1611 -- 1621Manqing Mao, Pai-Yu Chen, Shimeng Yu, Chaitali Chakrabarti. A Multilayer Approach to Designing Energy-Efficient and Reliable ReRAM Cross-Point Array System
1622 -- 1631Soon-Chan Kwon, Jong-Min Baek, Jong Moon Choi, Kee-Won Kwon. A Fast and Reliable Cross-Point Three-State/Cell ReRAM
1632 -- 1643Parham Hosseinzadeh Namin, Roberto Muscedere, Majid Ahmadi. Digit-Level Serial-In Parallel-Out Multiplier Using Redundant Representation for a Class of Finite Fields
1644 -- 1657M. Hassan Najafi, Shiva Jamali-Zavareh, David J. Lilja, Marc D. Riedel, Kia Bazargan, Ramesh Harjani. Time-Encoded Values for Highly Efficient Stochastic Circuits
1658 -- 1668Serdar Süer Erdem, Tugrul Yanik, Anil Çelebi. A General Digit-Serial Architecture for Montgomery Modular Multiplication
1669 -- 1680Trong Huynh Bao, Julien Ryckaert, Zsolt Tokei, Abdelkarim Mercha, Diederik Verkest, Aaron Voon-Yew Thean, Piet Wambacq. Statistical Timing Analysis Considering Device and Interconnect Variability for BEOL Requirements in the 5-nm Node and Beyond
1681 -- 1693Tao-Tao Zhu, Jian-yi Meng, Xiao-Yan Xiang, Xiao-lang Yan. Error-Resilient Integrated Clock Gate for Clock-Tree Power Optimization on a Wide Voltage IOT Processor
1694 -- 1702Jeremy Schlachter, Vincent Camus, Krishna V. Palem, Christian Enz. Design and Applications of Approximate Circuits by Gate-Level Pruning
1703 -- 1713Yu-Min Lee, Kuan-Te Pan, Chun Chen. NaPer: A TSV Noise-Aware Placer
1714 -- 1724Cang Liu, Chuan Tang, Zuocheng Xing, Luechao Yuan, Yang Zhang. Hardware Architecture Based on Parallel Tiled QRD Algorithm for Future MIMO Systems
1725 -- 1730Cheng-Ta Chiang. Design of a CMOS Chlorophyll Concentration Detector Based on Organic Chlorophyll Battery for Measuring Vegetable Chlorophyll Concentration
1731 -- 1741Sangyun Kim, Hamed Abbasizadeh, Imran Ali, Hongjin Kim, SungHun Cho, YoungGun Pu, Sang-Sun Yoo, MinJae Lee, Keum-Cheol Hwang, Youngoo Yang, Kang-Yoon Lee. An Inductive 2-D Position Detection IC With 99.8% Accuracy for Automotive EMR Gear Control System
1742 -- 1755Je-Kwang Cho, Sunsik Woo. A 6-mW, 70.1-dB SNDR, and 20-MHz BW Continuous-Time Sigma-Delta Modulator Using Low-Noise High-Linearity Feedback DAC
1756 -- 1766Mohammad Taherzadeh-Sani, Said M. Hussain Hussaini, Hamidreza Rezaee-Dehsorkh, Frederic Nabki, Mohamad Sawan. A 170-dB Ω CMOS TIA With 52-pA Input-Referred Noise and 1-MHz Bandwidth for Very Low Current Sensing
1767 -- 1773Yiping Zhang, Ziou Wang, Canyan Zhu, Lijun Zhang. 28-nm Latch-Type Sense Amplifier Modification for Coupling Suppression
1774 -- 1781Ravi Shankar R. Velampati, El-Sayed Hasaneen, E. K. Heller, Faquir C. Jain. Floating Gate Nonvolatile Memory Using Individually Cladded Monodispersed Quantum Dots
1782 -- 1786Suganthi Venkatachalam, Seok-Bum Ko. Design of Power and Area Efficient Approximate Multipliers
1787 -- 1791Huyen Thi Pham, Hanho Lee. Two-Extra-Column Trellis Min-Max Decoder Architecture for Nonbinary LDPC Codes
1792 -- 0HoonSeok Kim, Chanyoun Won, Paul D. Franzon. Corrections to "Crosstalk-Canceling Multimode Interconnect Using Transmitter Encoding"

Volume 25, Issue 4

1193 -- 1203Naeem Maroof, Bai-Sun Kong. DD Precharge and Row-Wise Dynamically Powered Read Port for Low Switching Power and Ultralow RBL Leakage
1204 -- 1214Raf Appeltans, Praveen Raghavan, Gouri Sankar Kar, Arnaud Furnemont, Liesbet Van der Perre, Wim Dehaene. A Smaller, Faster, and More Energy-Efficient Complementary STT-MRAM Cell Uses Three Transistors and a Ground Grid: More Is Actually Less
1215 -- 1223Donkyu Baek, Naehyuck Chang, Donghwa Shin. Compressed On-Chip Framebuffer Cache for Low-Power Display Systems
1224 -- 1235Fernando Garcia-Redondo, Pablo Royer, Marisa López-Vallejo, Hernan Aparicio, Pablo Ituero, Carlos A. López-Barrio. Reconfigurable Writing Architecture for Reliable RRAM Operation in Wide Temperature Ranges
1236 -- 1249Ju Hee Choi, Jong Wook Kwak. Fast Writeable Block-Aware Cache Update Policy for Spin-Transfer-Torque RAM
1250 -- 1260Peter G. Sarson, Gregor Schatzberger, Friedrich Peter Leisenberger. Fast Bit Screening of Automotive Grade EEPROMs - Continuous Improvement Exercise
1261 -- 1270Abdul Hafiz Alameh, Frederic Nabki. A 0.13-µm CMOS Dynamically Reconfigurable Charge Pump for Electrostatic MEMS Actuation
1271 -- 1284Sae Kyu Lee, Tao Tong, Xuan Zhang, David M. Brooks, Gu-Yeon Wei. A 16-Core Voltage-Stacked System With Adaptive Clocking and an Integrated Switched-Capacitor DC-DC Converter
1285 -- 1296Lili Song, Ying Wang, Yinhe Han, Huawei Li, Yuanqing Cheng, Xiaowei Li. STT-RAM Buffer Design for Precision-Tunable General-Purpose Neural Network Accelerator
1297 -- 1306Xiaoyin Bai, Zhi-Hui Kong, Liter Siek. A High-Efficiency 6.78-MHz Full Active Rectifier With Adaptive Time Delay Control for Wireless Power Transmission
1307 -- 1319Chio-In Ieong, Mingzhong Li, Man Kay Law, Pui-In Mak, Mang I Vai, Rui Paulo Martins. A 0.45 V 147-375 nW ECG Compression Processor With Wavelet Shrinkage and Adaptive Temporal Decimation Architectures
1320 -- 1328Mahmoud Meribout, Samir Teniou. A Pipelined Parallel Hardware Architecture for 2-D Real-Time Electrical Capacitance Tomography Imaging Using Interframe Correlation
1329 -- 1341Yufeng Tong, Wei Zhang, Yung-Cheng Ma, Yanyan Liu, Yu Liang, Tai Zhang, Haowen Luo. Compiler-Guided Parallelism Adaption Based on Application Partition for Power-Gated ILP Processor
1342 -- 1351Xinmiao Zhang, Ying Tai. Low-Complexity Transformed Encoder Architectures for Quasi-Cyclic Nonbinary LDPC Codes Over Subfields
1352 -- 1361Omid Akbari, Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram. Dual-Quality 4: 2 Compressors for Utilizing in Dynamic Accuracy Configurable Multipliers
1362 -- 1372Weijing Shi, Xin Li, Zhiyi Yu, Gary Overett. An FPGA-Based Hardware Accelerator for Traffic Sign Detection
1373 -- 1385Dong Wang, Xiao Liang Tan, Pak Kwong Chan. A 65-nm CMOS Constant Current Source With Reduced PVT Variation
1386 -- 1396Pil-Ho Lee, Han-Yeol Lee, Hyun Bae Lee, Young-Chan Jang. An On-Chip Monitoring Circuit for Signal-Integrity Analysis of 8-Gb/s Chip-to-Chip Interfaces With Source-Synchronous Clock
1397 -- 1407Vasileios Tenentes, Daniele Rossi, Sheng Yang, S. Saqib Khursheed, Bashir M. Al-Hashimi, Steve R. Gunn. Coarse-Grained Online Monitoring of BTI Aging by Reusing Power-Gating Infrastructure
1408 -- 1420Reza Ramezani, Yasser Sedaghat, Juan Antonio Clemente. Reliability Improvement of Hardware Task Graphs via Configuration Early Fetch
1421 -- 1432Rajendra Bishnoi, Fabian Oboril, Mehdi Baradaran Tahoori. Design of Defect and Fault-Tolerant Nonvolatile Spintronic Flip-Flops
1433 -- 1443Jie Guo, Danghui Wang, Zili Shao, Yiran Chen. Data-Pattern-Aware Error Prevention Technique to Improve System Reliability
1444 -- 1454Innocent Agbo, Mottaqiallah Taouil, Daniel Kraak, Said Hamdioui, Halil Kukner, Pieter Weckx, Praveen Raghavan, Francky Catthoor. Integral Impact of BTI, PVT Variation, and Workload on SRAM Sense Amplifier
1455 -- 1466Hiromitsu Awano, Shumpei Morita, Takashi Sato. Scalable Device Array for Statistical Characterization of BTI-Related Parameters
1467 -- 1476Manjari Pradhan, Bhargab B. Bhattacharya. COMEDI: Combinatorial Election of Diagnostic Vectors From Detection Test Sets for Logic Circuits
1477 -- 1489Yun-Jui Li, Ching-Yi Huang, Chia-Cheng Wu, Yung-Chih Chen, Chun-Yao Wang, Suman Datta, Vijaykrishnan Narayanan. Dynamic Diagnosis for Defective Reconfigurable Single-Electron Transistor Arrays
1490 -- 1496Hyuk Ryu, Eun-Taek Sung, Sangyong Park, Je-Kwang Cho, Donghyun Baek. Fast Automatic Frequency Calibrator Using an Adaptive Frequency Search Algorithm
1497 -- 1505Shraddha Bodhe, Irith Pomeranz, M. Enamul Amyeen, Srikanth Venkataraman. Reordering Tests for Efficient Fail Data Collection and Tester Time Reduction
1506 -- 1519Yu Liu, Yier Jin, Aria Nosratinia, Yiorgos Makris. Silicon Demonstration of Hardware Trojan Design and Detection in Wireless Cryptographic ICs
1520 -- 1527Jiliang Zhang, Lele Liu. Publicly Verifiable Watermarking for Intellectual Property Protection in FPGA Design
1528 -- 1536Anita Aghaie, Mehran Mozaffari Kermani, Reza Azarderakhsh. Fault Diagnosis Schemes for Low-Energy Block Cipher Midori Benchmarked on FPGA
1537 -- 1548Dwaipayan Biswas, Koushik Maharatna, Goran Panic, Evangelos B. Mazomenos, Josy Achner, Jasmin Klemke, Michael Jöbges, Steffen Ortmann. Low-Complexity Framework for Movement Classification Using Body-Worn Sensors
1549 -- 1562Sumeet S. Kumar, Amir Zjajo, René van Leuken. Fighting Dark Silicon: Toward Realizing Efficient Thermal-Aware 3-D Stacked Multiprocessors
1563 -- 1567Mohammad Ali Montazerolghaem, Tohid Moosazadeh, Mohammad Yavari. A Single Channel Split ADC Structure for Digital Background Calibration in Pipelined ADCs
1568 -- 1572Bhagyaraja Adapa, Dwaipayan Biswas, Swati Bhardwaj, Shashank Raghuraman, Amit Acharyya, Koushik Maharatna. Coordinate Rotation-Based Low Complexity K-Means Clustering Architecture
1573 -- 1577Yeongkyo Seo, Xuanyao Fong, Kaushik Roy 0001. Fast and Disturb-Free Nonvolatile Flip-Flop Using Complementary Polarizer MTJ
1578 -- 1582Hayate Okuhara, Yu Fujita, Kimiyoshi Usami, Hideharu Amano. Power Optimization Methodology for Ultralow Power Microcontroller With Silicon on Thin BOX MOSFET
1583 -- 1587Ali Ahmed, Kyungbae Park, Sanghyeon Baeg. Resource-Efficient SRAM-Based Ternary Content Addressable Memory
1588 -- 1592Hyeonggyu Kim, Soontae Kim, Jooheung Lee. Write-Amount-Aware Management Policies for STT-RAM Caches

Volume 25, Issue 3

793 -- 805Jungwoo Park, Jongmin Lee 0002, Soontae Kim. A Way-Filtering-Based Dynamic Logical-Associative Cache Architecture for Low-Energy Consumption
806 -- 819Mengshuo Wang, Changhao Yan, Xin Li, Dian Zhou, Xuan Zeng. High-Dimensional and Multiple-Failure-Region Importance Sampling for SRAM Yield Analysis
820 -- 832Yen-Hao Chen, Yi-Lun Tang, Yi-Yu Liu, Allen C.-H. Wu, TingTing Hwang. A Novel Cache-Utilization-Based Dynamic Voltage-Frequency Scaling Mechanism for Reliability Enhancements
833 -- 843Menglong Guan, Lei Wang 0003. Improving DRAM Performance in 3-D ICs via Temperature Aware Refresh
844 -- 856Jooyoung Kim, Woosung Lee, Keewon Cho, Sungho Kang. Hardware-Efficient Built-In Redundancy Analysis for Memory With Various Spares
857 -- 871Alejandro Valero, Negar Miralaei, Salvador Petit, Julio Sahuquillo, Timothy M. Jones. On Microarchitectural Mechanisms for Cache Wearout Reduction
872 -- 880Yang Xu, Xinwang Zhang, Zhihua Wang, Baoyong Chi. A Flexible Continuous-Time $\Delta \Sigma $ ADC With Programmable Bandwidth Supporting Low-Pass and Complex Bandpass Architectures
881 -- 893Je-Kwang Cho. A 92-dB DR, 24.3-mW, 1.25-MHz BW Sigma-Delta Modulator Using Dynamically Biased Op Amp Sharing
894 -- 906Babak Zamanlooy, Mitra Mirhassani. An Analog CVNS-Based Sigmoid Neuron for Precise Neurochips
907 -- 918Qing Dong, Kaiyuan Yang, Laura Fick, David Fick, David Blaauw, Dennis Sylvester. Low-Power and Compact Analog-to-Digital Converter Using Spintronic Racetrack Memory Devices
919 -- 928Nashiru Alhassan, Zekun Zhou, Edgar Sánchez-Sinencio. An All-MOSFET Sub-1-V Voltage Reference With a - 51 -dB PSR up to 60 MHz
929 -- 941Zhao Zhang, Liyuan Liu, Peng Feng, Nanjian Wu. A 2.4-3.6-GHz Wideband Subharmonically Injection-Locked PLL With Adaptive Injection Timing Alignment Technique
942 -- 953Dong Xiang, Xiaoqing Wen, Laung-Terng Wang. Low-Power Scan-Based Built-In Self-Test Based on Weighted Pseudorandom Test Pattern Generation and Reseeding
954 -- 961Saba Amanollahi, Ghassem Jaberipur. Energy-Efficient VLSI Realization of Binary64 Division With Redundant Number Systems
962 -- 973Hsiang-Jen Tsai, Keng-Hao Yang, Yin-Chi Peng, Chien-Chen Lin, Ya-Han Tsao, Meng-Fan Chang, Tien-Fu Chen. Energy-Efficient TCAM Search Engine Design Using Priority-Decision in Memory Technology
974 -- 987Swaminathan Narayanaswamy, Matthias Kauer, Sebastian Steinhorst, Martin Lukasiewycz, Samarjit Chakraborty. Modular Active Charge Balancing for Scalable Battery Packs
988 -- 997David Cavalheiro, Francesc Moll, Stanimir Valtchev. Insights Into Tunnel FET-Based Charge Pumps and Rectifiers for Energy Harvesting Applications
998 -- 1011Ahmed Awad, Atsushi Takahashi 0001, Satoshi Tanaka, Chikaaki Kodama. A Fast Process-Variation-Aware Mask Optimization Algorithm With a Novel Intensity Modeling
1012 -- 1022Nezam Rohbani, Mojtaba Ebrahimi, Seyed Ghassem Miremadi, Mehdi Baradaran Tahoori. Bias Temperature Instability Mitigation via Adaptive Cache Size Management
1023 -- 1031Myat Thu Linn Aung, Takefumi Yoshikawa, Chuan Seng Tan, Tony Tae-Hyoung Kim. Yield Enhancement of Face-to-Face Cu-Cu Bonding With Dual-Mode Transceivers in 3DICs
1032 -- 1043Wei Jin, Seongjong Kim, Weifeng He, Zhigang Mao, Mingoo Seok. In Situ Error Detection Techniques in Ultralow Voltage Pipelines: Analysis and Optimizations
1044 -- 1053Immanuel Raja, Vishal Khatri, Zaira Zahir, Gaurab Banerjee. A 0.1-2-GHz Quadrature Correction Loop for Digital Multiphase Clock Generation Circuits in 130-nm CMOS
1054 -- 1062Riadul Islam, Matthew R. Guthaus. CMCS: Current-Mode Clock Synthesis
1063 -- 1071Chandra Babu Dara, Themistoklis Haniotakis, Spyros Tragoudas. Delay Analysis for Current Mode Threshold Logic Gate Designs
1072 -- 1084Ayesha Khalid, Goutam Paul, Anupam Chattopadhyay. RC4-AccSuite: A Hardware Acceleration Suite for RC4-Like Stream Ciphers
1085 -- 1097Fatemeh Tehranipoor, Nima Karimian, Wei Yan, John A. Chandy. DRAM-Based Intrinsic Physically Unclonable Functions for System-Level Security and Authentication
1098 -- 1111Syed Mohsin Abbas, YouZhe Fan, Ji Chen, Chi-Ying Tsui. High-Throughput and Energy-Efficient Belief Propagation Polar Code Decoder
1112 -- 1125Grzegorz Mrugalski, Janusz Rajski, Jedrzej Solecki, Jerzy Tyszer, Chen Wang. Trimodal Scan-Based Test Paradigm
1126 -- 1139Karthi Duraisamy, Yuankun Xue, Paul Bogdan, Partha Pratim Pande. Multicast-Aware High-Performance Wireless Network-on-Chip Architectures
1140 -- 1153Cong Hao, Jianmo Ni, Nan Wang, Takeshi Yoshimura. Interconnection Allocation Between Functional Units and Registers in High-Level Synthesis
1154 -- 1158S. Rasool Hosseini, Mehdi Saberi, Reza Lotfi. A High-Speed and Power-Efficient Voltage Level Shifter for Dual-Supply Applications
1159 -- 1163Guanghui Hu, Jin Sha, Zhongfeng Wang. High-Speed Parallel LFSR Architectures Based on Improved State-Space Transformations
1164 -- 1167Fengjuan Wang, Ningmei Yu. An Ultracompact Butterworth Low-Pass Filter Based on Coaxial Through-Silicon Vias
1168 -- 1172Dezhi Xing, Yan Zhu 0001, Chi-Hang Chan, Sai-Weng Sin, Fan Ye, Junyan Ren, Seng-Pan U, Rui Paulo Martins. Seven-bit 700-MS/s Four-Way Time-Interleaved SAR ADC With Partial $V_{\mathrm {cm}}$ -Based Switching
1173 -- 1177Doron Gluzer, Shmuel Wimer. Probability-Driven Multibit Flip-Flop Integration With Clock Gating
1178 -- 1182Jaewon Jang, Minho Cheong, Jin-Ho Ahn, Sung Kyu Lim, Sungho Kang. Chain-Based Approach for Fast Through-Silicon-Via Coupling Delay Estimation
1183 -- 1187Tae-Woo Oh, Hanwool Jeong, Kyoman Kang, Juhyun Park, Younghwi Yang, Seong-Ook Jung. Power-Gated 9T SRAM Cell for Low-Energy Operation
1188 -- 1192Bin Zhang, Jizhong Zhao. Hardware Implementation for Real-Time Haze Removal

Volume 25, Issue 2

393 -- 401Reza Zendegani, Mehdi Kamal, Milad Bahadori, Ali Afzali-Kusha, Massoud Pedram. RoBA Multiplier: A Rounding-Based Approximate Multiplier for High-Speed yet Energy-Efficient Digital Signal Processing
402 -- 415Kwen-Siong Chong, Weng-Geng Ho, Tong Lin, Bah-Hwee Gwee, Joseph S. Chang. Sense Amplifier Half-Buffer (SAHB) A Low-Power High-Performance Asynchronous Logic QDI Cell Template
416 -- 426Srikar Bhagavatula, Byunghoo Jung. Variation Resilient Power Sensor With an 80-ns Response Time for Fine-Grained Power Management
427 -- 440Amir M. Rahmani, Mohammad Hashem Haghbayan, Antonio Miele, Pasi Liljeberg, Axel Jantsch, Hannu Tenhunen. Reliability-Aware Runtime Power Management for Many-Core Systems in the Dark Silicon Era
441 -- 449Shoaleh Hashemi Namin, Huapeng Wu, Majid Ahmadi. Low-Power Design for a Digit-Serial Polynomial Basis Finite Field Multiplier Using Factoring Technique
450 -- 461Sundarrajan Rangachari, Jaiganesh Balakrishnan, Nitin Chandrachoodan. Scenario-Aware Dynamic Power Reduction Using Bias Addition
462 -- 475Arnab Raha, Swagath Venkataramani, Vijay Raghunathan, Anand Raghunathan. Energy-Efficient Reduce-and-Rank Using Input-Adaptive Approximations
476 -- 487Hooman Farkhani, Mohammad Tohidi, Ali Peiravi, Jens Kargaard Madsen, Farshad Moradi. STT-RAM Energy Reduction Using Self-Referenced Differential Write Termination Technique
488 -- 501Chien-Chung Ho, Yu-Ping Liu, Yuan-Hao Chang, Tei-Wei Kuo. Antiwear Leveling Design for SSDs With Hybrid ECC Capability
502 -- 509Robert Giterman, Lior Atias, Adam Teman. Area and Energy-Efficient Complementary Dual-Modular Redundancy Dynamic Memory for Space Applications
510 -- 519Chihiro Matsui, Asuka Arakawa, Chao Sun, Ken Takeuchi. Write Order-Based Garbage Collection Scheme for an LBA Scrambler Integrated SSD
520 -- 533Naifeng Jing, Shunning Jiang, Shuang Chen, Jingjie Zhang, Li Jiang, Chao Li, Xiaoyao Liang. Bank Stealing for a Compact and Efficient Register File Architecture in GPGPU
534 -- 546Nishit Ashok Kapadia, Sudeep Pasricha. A Runtime Framework for Robust Application Scheduling With Adaptive Parallelism in the Dark-Silicon Era
547 -- 555Reiley Jeyapaul, Roberto Flores, Alfonso Avila, Aviral Shrivastava. Systematic Methodology for the Quantitative Analysis of Pipeline-Register Reliability
556 -- 569Zhonghai Lu, Yuan Yao. Dynamic Traffic Regulation in NoC-Based Systems
570 -- 580Kamran Rahmani, Sandip Ray, Prabhat Mishra. Postsilicon Trace Signal Selection Using Machine Learning Techniques
581 -- 593Shao-Yun Fang, Kuo-Hao Wu. Cut Mask Optimization With Wire Planning in Self-Aligned Multiple Patterning Full-Chip Routing
594 -- 607Artjom Grudnitsky, Lars Bauer, Jörg Henkel. Efficient Partial Online Synthesis of Special Instructions for Reconfigurable Processors
608 -- 620Itamar Levi, Alexander Fish, Osnat Keren. CPA Secured Data-Dependent Delay-Assignment Methodology
621 -- 634I-Jen Chao, Bin-Da Liu, Soon-Jyh Chang, Chun-Yueh Huang, Hsin-Wen Ting. Analyses of Splittable Amplifier Technique and Cancellation of Memory Effect for Opamp Sharing
635 -- 647Massoud Tohidian, Iman Madadi, Robert Bogdan Staszewski. A Fully Integrated Discrete-Time Superheterodyne Receiver
648 -- 659Isaak Yang, Sung Hoon Jung, Kwang-Hyun Cho. Self-Repairing Digital System Based on State Attractor Convergence Inspired by the Recovery Process of a Living Cell
660 -- 669Randy W. Mann, William McMahon, Yoann Mamy Randriamihaja, Yuncheng Song, Ajay Anand Kallianpur, Sheng Xie, Akhilesh Gautam, Joseph Versaggi, Biju Parameshwaran, Chad E. Weintraub. Bias-Induced Healing of $V_{\text {min}}$ Failures in Advanced SRAM Arrays
670 -- 682Zhan-Hui Li, Tao-Tao Zhu, Zhi-Jian Chen, Jian-yi Meng, Xiao-Yan Xiang, Xiao-lang Yan. Eliminating Timing Errors Through Collaborative Design to Maximize the Throughput
683 -- 695Yingnan Cui, Wei Zhang, Bingsheng He. A Variation-Aware Adaptive Fuzzy Control System for Thermal Management of Microprocessors
696 -- 704Michael Cheah, Debashis Mandal, Bertan Bakkaloglu, Sayfe Kiaei. pp Ripple Digitally Controlled LDO With Active Ripple Suppression
705 -- 713Ata Khorami, Mohammad Sharifkhani. An Efficient Fast Switching Procedure for Stepwise Capacitor Chargers
714 -- 724Jian-Bin Zhou, Dajiang Zhou, Shihao Wang, Shuping Zhang, Takeshi Yoshimura, Satoshi Goto. A Dual-Clock VLSI Design of H.265 Sample Adaptive Offset Estimation for 8k Ultra-HD TV Encoding
725 -- 734Pingxiuqi Chen, Shaik Nazeem Basha, Mehran Mozaffari Kermani, Reza Azarderakhsh, Jiafeng Xie. FPGA Realization of Low Register Systolic All-One-Polynomial Multipliers Over $GF(2^{m})$ and Their Applications in Trinomial Multipliers
735 -- 746Chiou-Yng Lee, Pramod Kumar Meher, Chia-Chen Fan, Shyan-Ming Yuan. Low-Complexity Digit-Serial Multiplier Over $GF(2^{m})$ Based on Efficient Toeplitz Block Toeplitz Matrix-Vector Product Decomposition
747 -- 759Zhiheng Wang, Ryan N. Goh, Kia Bazargan, Arnd Scheel, Naman Saraf. Stochastic Implementation and Analysis of Dynamical Systems Similar to the Logistic Map
760 -- 764Moon Gi Seok, Tag Gon Kim, Chang Beom Choi, Daejin Park. An HLA-Based Distributed Cosimulation Framework in Mixed-Signal System-on-Chip Design
765 -- 768Leonardo Rezende Juracy, Matheus Trevisan Moreira, Felipe Augusto Kuentzer, Alexandre de Morais Amory. Optimized Design of an LSSD Scan Cell
769 -- 773Hailang Wang, Emre Salman. Closed-Form Expressions for I/O Simultaneous Switching Noise Revisited
774 -- 778Derui Kong, Dongwon Seo, Sang Min Lee. Analysis and Reduction of Nonidealities in Stacked-Transistor Current Sources
779 -- 782Ji Hoon Park, Hyun-Seung Seo, Bai-Sun Kong. Conditional-Boosting Flip-Flop for Near-Threshold Voltage Application
783 -- 787Chung-Shiang Wu, Hui-Hsuan Lee, Po-Hung Chen, Wei Hwang. Digital Buck Converter With Switching Loss Reduction Scheme for Light Load Efficiency Enhancement
788 -- 792Kihwan Seong, Won-Cheol Lee, Byungsub Kim, Jae-Yoon Sim, Hong June Park. All-Synthesizable Current-Mode Transmitter Driver for USB2.0 Interface

Volume 25, Issue 12

3265 -- 3267Anirban Sengupta, Sandip Kundu. Guest Editorial Securing IoT Hardware: Threat Models and Reliable, Low-Power Design Solutions
3268 -- 3280Leonid Azriel, Ran Ginosar, Shay Gueron, Avi Mendelson. Using Scan Side Channel to Detect IP Theft
3281 -- 3290Duy-Hieu Bui, Diego Puschini, Simone Bacles-Min, Edith Beigné, Xuan-Tu Tran. AES Datapath Optimization Strategies for Low-Power Low-Energy Multisecurity-Level Internet-of-Things Applications
3291 -- 3301Thomas De Cnudde, Svetla Nikova. Securing the PRESENT Block Cipher Against Combined Side-Channel Analysis and Fault Attacks
3302 -- 3316Hsiang-Jen Tsai, Chien-Chih Chen, Yin-Chi Peng, Ya-Han Tsao, Yen-Ning Chiang, Wei-Cheng Zhao, Meng-Fan Chang, Tien-Fu Chen. A Flexible Wildcard-Pattern Matching Accelerator via Simultaneous Discrete Finite Automata
3317 -- 3330Maxime Lecomte, Jacques Fournier, Philippe Maurine. An On-Chip Technique to Detect Hardware Trojans and Assist Counterfeit Identification
3331 -- 3340Yizhi Zhao, Xuecheng Zou, Zhaojun Lu, Zhenglin Liu. Chaotic Encrypted Polar Coding Scheme for General Wiretap Channel
3341 -- 3354Po-Hao Wang, Yung-Chen Chien, Shang-Jen Tsai, Xuan-Yu Lin, Rizal Tanjung, Yi-Sian Lin, Shu-Wei Syu, Tay-Jyi Lin, Jinn-Shyan Wang, Tien-Fu Chen. ULV-Turbo Cache for an Instantaneous Performance Boost on Asymmetric Architectures
3355 -- 3368Xiangyu Li, Chaoqun Yang, Jiangsha Ma, Yongchang Liu, Shujuan Yin. Energy-Efficient Side-Channel Attack Countermeasure With Awareness and Hybrid Configuration Based on It
3369 -- 3379J. Gorji, M. B. Ghaznavi-Ghoushchi. A Process-Independent and Highly Linear DCO for Crowded Heterogeneous IoT Devices in 65-nm CMOS
3380 -- 3389F. E. Potestad-Ordonez, C. J. Jiménez-Fernández, M. Valencia-Barrero. Vulnerability Analysis of Trivium FPGA Implementations
3390 -- 3400Xiaolong Guo, Raj Gautam Dutta, Prabhat Mishra, Yier Jin. Automatic Code Converter Enhanced PCH Framework for SoC Trust Verification
3401 -- 3405J. M. Mora-Gutiérrez, C. J. Jiménez-Fernández, M. Valencia-Barrero. Multiradix Trivium Implementations for Low-Power IoT Hardware
3406 -- 3419Jonathon Magaña, Daohang Shi, Jackson Melchert, Azadeh Davoodi. Are Proximity Attacks a Threat to the Security of Split Manufacturing of Integrated Circuits?
3420 -- 3433Fahim Rahman, Bicky Shakya, Xiaolin Xu, Domenic Forte, Mark Tehranipoor. Security Beyond CMOS: Fundamentals, Applications, and Roadmap
3434 -- 3443Yung-Hui Chung, Chia-Wei Yen. An 11-bit 100-MS/s Subranged-SAR ADC in 65-nm CMOS
3444 -- 3454Qing Liu, Wei Shu, Joseph S. Chang. A 400-MS/s 10-b 2-b/Step SAR ADC With 52-dB SNDR and 5.61-mW Power Dissipation in 65-nm CMOS
3455 -- 3463Gustavo Della Colletta, Luis H. C. Ferreira, Sameer R. Sonkusale, Giseli V. Rocha. A 20-nW 0.25-V Inverter-Based Asynchronous Delta-Sigma Modulator in 130-nm Digital CMOS Process
3464 -- 3472Daniel Kraak, Mottaqiallah Taouil, Innocent Agbo, Said Hamdioui, Pieter Weckx, Stefan Cosemans, Francky Catthoor. Impact and Mitigation of Sense Amplifier Aging Degradation Using Realistic Workloads
3473 -- 3483Shourya Gupta, Kirti Gupta, Neeta Pandey. A 32-nm Subthreshold 7T SRAM Bit Cell With Read Assist
3484 -- 3494Gyuseong Kang, Woong Choi, Jongsun Park. Embedded DRAM-Based Memory Customization for Low-Cost FFT Processor Design
3495 -- 3508Karthi Duraisamy, Partha Pratim Pande. Enabling High-Performance SMART NoC Architectures Using On-Chip Wireless Links
3509 -- 3520Giuseppe Scotti, Davide Bellizia, Alessandro Trifiletti, Gaetano Palumbo. Design of Low-Voltage High-Speed CML D-Latches in Nanometer CMOS Technologies
3521 -- 3533Sachin Kumar, Chip-Hong Chang. n-1-1
3534 -- 3538Zhenqiang Yong, Xiaoyan Xiang, Chen Chen, Jianyi Meng. An Energy-Efficient and Wide-Range Voltage Level Shifter With Dual Current Mirror
3539 -- 3542Haowen Luo, Wei Zhang 0055, Yang Wang, Yan Hu, Yanyan Liu. An Algorithm for Improving the Throughput of Serial Low-Complexity Chase Soft-Decision Reed-Solomon Decoder
3543 -- 3547Woo-Rham Bae, Borivoje Nikolic, Deog Kyoon Jeong. Use of Phase Delay Analysis for Evaluating Wideband Circuits: An Alternative to Group Delay Analysis
3548 -- 3552Xue Liu, Xin-Xin Yan, Ze-ke Wang, Qingxu Deng. Design and FPGA Implementation of a Reconfigurable Digital Down Converter for Wideband Applications

Volume 25, Issue 11

2981 -- 2994Tongda Wu, Yongpan Liu, Daming Zhang, Jinyang Li, Xiaobo Sharon Hu, Chun Jason Xue, Huazhong Yang. DVFS-Based Long-Term Task Scheduling for Dual-Channel Solar-Powered Sensor Nodes
2995 -- 3005Zhekai Xiao, Anh Khoa Bui, Liter Siek. A Hysteretic Switched-Capacitor DC-DC Converter With Optimal Output Ripple and Fast Transient Response
3006 -- 3018Younghyun Lim, Jeonghyun Lee, Yongsun Lee, Seong-Sik Song, Hong-Teuk Kim, Ockgoo Lee, Jaehyouk Choi. An External Capacitor-Less Ultralow-Dropout Regulator Using a Loop-Gain Stabilizing Technique for High Power-Supply Rejection Over a Wide Range of Load Current
3019 -- 3032Longfei Wang, S. Karen Khatamifard, Orhun Aras Uzun, Ulya R. Karpuzcu, Selçuk Köse. Efficiency, Stability, and Reliability Implications of Unbalanced Current Sharing Among Distributed On-Chip Voltage Regulators
3033 -- 3044Jin-Fa Lin, Ming-Hwa Sheu, Yin-Tsung Hwang, Chen-Syuan Wong, Ming-Yan Tsai. Low-Power 19-Transistor True Single-Phase Clocking Flip-Flop Design Based on Logic Structure Reduction Schemes
3045 -- 3056Kristof Blutman, Hamed Fatemi, Ajay Kapoor, Andrew B. Kahng, Jiajia Li, José Pineda de Gyvez. Logic Design Partitioning for Stacked Power Domains
3057 -- 3066Sumantra Sarkar, Ayan Biswas, Anindya Sundar Dhar, Rahul M. Rao. Adaptive Bus Encoding for Transition Reduction on Off-Chip Buses With Dynamically Varying Switching Characteristics
3067 -- 3076Fabrizio Cairo, Marco Vacca, Giovanna Turvani, Maurizio Zamboni, Mariagrazia Graziano. Domain Wall Interconnections for NML
3077 -- 3088Siyuan Xu, Benjamin Carrión Schäfer. Exposing Approximate Computing Optimizations at Different Levels: From Behavioral to Gate-Level
3089 -- 3098K. M. Mohsin, Ashok Srivastava. Modeling of Joule Heating Induced Effects in Multiwall Carbon Nanotube Interconnects
3099 -- 3112Khanh N. Dang, Akram Ben Ahmed, Xuan-Tu Tran, Yuichi Okuyama, Abderazek Ben Abdallah. A Comprehensive Reliability Assessment of Fault-Resilient Network-on-Chip Using Analytical Model
3113 -- 3124Xinwang Zhang, Zipeng Chen, Yanqiang Gao, Feng Ma, Jiachen Hao, Guodong Zhu, Baoyong Chi. An Interference-Robust Reconfigurable Receiver With Automatic Frequency-Calibrated LNA in 65-nm CMOS
3125 -- 3137Amr M. S. Tosson, Shimeng Yu, Mohab H. Anis, Lan Wei. A Study of the Effect of RRAM Reliability Soft Errors on the Performance of RRAM-Based Neuromorphic Systems
3138 -- 3151Maryam Karimi, Nezam Rohbani, Seyed Ghassem Miremadi. A Low Area Overhead NBTI/PBTI Sensor for SRAM Memories
3152 -- 3165Guangda Zhang, Wei Song 0002, Jim D. Garside, Javier Navaridas, Zhiying Wang. Handling Physical-Layer Deadlock Caused by Permanent Faults in Quasi-Delay-Insensitive Networks-on-Chip
3166 -- 3174Tzung-Je Lee, Tsung-Yi Tsai, Wei Lin, U-Fat Chio, Chua-Chin Wang. A Dynamic Leakage and Slew Rate Compensation Circuit for 40-nm CMOS Mixed-Voltage Output Buffer
3175 -- 3185Vineeth Sarma, Chithira Ravi, Bibhu Datta Sahoo. Achieving Theoretical Limit of SFDR in Pipelined ADCs
3186 -- 3192José M. Algueta Miguel, Jaime Ramírez-Angulo, Enrique Mirazo, Antonio J. López-Martín, Ramón González Carvajal. A Simple Miller Compensation With Essential Bandwidth Improvement
3193 -- 3206Seyed Alireza Zahrai, Marina Zlochisti, Nicolas Le Dortz, Marvin Onabajo. A Low-Power High-Speed Hybrid ADC With Merged Sample-and-Hold and DAC Functions for Efficient Subranging Time-Interleaved Operation
3207 -- 3217Hao-Ting Shen, Fahim Rahman, Bicky Shakya, Xiaolin Xu, Mark Tehranipoor, Domenic Forte. Poly-Si-Based Physical Unclonable Functions
3218 -- 3226Wen Yuan, Jeffrey S. Walling. A Switched-Capacitor-Controlled Digital-Current Modulated Class-E Transmitter
3227 -- 3236Shalini Pathak, Anuj Grover, Mausumi Pohit, Nitin Bansal. LoCCo-Based Scan Chain Stitching for Low-Power DFT
3237 -- 3250Mehdi Sadi, Gustavo K. Contreras, Jifeng Chen, LeRoy Winemberg, Mark Tehranipoor. Design of Reliable SoCs With BIST Hardware and Machine Learning
3251 -- 3254Hoseok Seol, Wongyu Shin, Jaemin Jang, Jungwhan Choi, Jinwoong Suh, Lee-Sup Kim. In-DRAM Data Initialization
3255 -- 3259Suresh Mopuri, Amit Acharyya. Low-Complexity Methodology for Complex Square-Root Computation
3260 -- 3264Boyu Hu, Yuan Du, Rulin Huang, Jeffrey Lee, Young-Kai Chen, Mau-Chung Frank Chang. An R2R-DAC-Based Architecture for Equalization-Equipped Voltage-Mode PAM-4 Wireline Transmitter Design

Volume 25, Issue 10

2685 -- 2687Farshad Firouzi, Bahar Farahani, Andrew B. Kahng, Jan M. Rabaey, Natasha Balac. Guest Editorial: Alternative Computing and Machine Learning for Internet of Things
2688 -- 2699Arash Ardakani, François Leduc-Primeau, Naoya Onizawa, Takahiro Hanyu, Warren J. Gross. VLSI Implementation of Deep Neural Network Using Integral Stochastic Computing
2700 -- 2713Michael Gautschi, Pasquale Davide Schiavone, Andreas Traber, Igor Loi, Antonio Pullini, Davide Rossi, Eric Flamand, Frank K. Gürkaynak, Luca Benini. Near-Threshold RISC-V Core With DSP Extensions for Scalable IoT Endpoint Devices
2714 -- 2723Jinsu Lee, Dongjoo Shin, Youchang Kim, Hoi-Jun Yoo. A 17.5-fJ/bit Energy-Efficient Analog SRAM for Mixed-Signal Processing
2724 -- 2735Naoya Onizawa, Shunsuke Koshita, Shuichi Sakamoto, Masahide Abe, Masayuki Kawamata, Takahiro Hanyu. Area/Energy-Efficient Gammatone Filters Based on Stochastic Computation
2736 -- 2748Ying Wang 0001, Jiachao Deng, Yuntan Fang, Huawei Li, Xiaowei Li. Resilience-Aware Frequency Tuning for Neural-Network-Based Approximate Computing Chips
2749 -- 2762Anil Kanduri, Mohammad Hashem Haghbayan, Amir M. Rahmani, Pasi Liljeberg, Axel Jantsch, Hannu Tenhunen, Nikil Dutt. Accuracy-Aware Power Management for Many-Core Systems Running Error-Resilient Applications
2763 -- 2775Zhisheng Wang, Jun Lin, Zhongfeng Wang. Accelerating Recurrent Neural Networks: A Memory-Efficient Approach
2776 -- 2788Bo-Cheng Charles Lai, Kun-Hua Huang. An Efficient Hierarchical Banking Structure for Algorithmic Multiported Memory on FPGA
2789 -- 2802Luechao Yuan, Cang Liu, Chuan Tang, Shan Huang, Anupam Chattopadhyay, Gerd Ascheid, Zuocheng Xing. A Flexible Divide-and-Conquer MPSoC Architecture for MIMO Interference Cancellation
2803 -- 2816Huai-Ting Li, Ching-Yao Chou, Yuan-Ting Hsieh, Wei-Ching Chu, An-Yeu Wu. Variation-Aware Reliable Many-Core System Design by Exploiting Inherent Core Redundancy
2817 -- 2827Qiliang Shao, Zhenji Hu, Shaobo Chen, Pingxiuqi Chen, Jiafeng Xie. Low-Complexity Digit-Level Systolic Gaussian Normal Basis Multiplier
2828 -- 2841Aurangozeb, A. K. M. Delwar Hossain, Can Ni, Quazi Sharar, Masum Hossain. Time-Domain Arithmetic Logic Unit With Built-In Interconnect
2842 -- 2855Jian Yan, Junqi Yuan, Philip Heng Wai Leong, Wayne Luk, Lingli Wang. Lossless Compression Decoders for Bitstreams and Software Binaries Based on High-Level Synthesis
2856 -- 2866Lennart Bamberg, Alberto García Ortiz. High-Level Energy Estimation for Submicrometric TSV Arrays
2867 -- 2880Jongsok Choi, Stephen Dean Brown, Jason Helge Anderson. From Pthreads to Multicore Hardware Systems in LegUp High-Level Synthesis for FPGAs
2881 -- 2892Shengcheng Wang, Mehdi Baradaran Tahoori. Electromigration-Aware Local-Via Allocation in Power/Ground TSVs of 3-D ICs
2893 -- 2906Jinn-Shyan Wang, Shih-Nung Wei. Process/Voltage/Temperature-Variation-Aware Design and Comparative Study of Transition-Detector-Based Error-Detecting Latches for Timing-Error-Resilient Pipelined Systems
2907 -- 2916Shunbin Li, Yingtao Jiang, Peng Liu. An Adaptive PAM-4 Analog Equalizer With Boosting-State Detection in the Time Domain
2917 -- 2928Hansraj Bhamra, John Lynch, Matthew Ward, Pedro Irazoqui. A Noise-Power-Area Optimized Biosensing Front End for Wireless Body Sensor Nodes and Medical Implantable Devices
2929 -- 2938Sang Min Lee, Namsoo Kim, Derui Kong, Dongwon Seo. A DAC With an Impedance Attenuator and Distortion Analysis Using Volterra Series
2939 -- 2948Jiaji He, Yiqiang Zhao, Xiaolong Guo, Yier Jin. Hardware Trojan Detection Through Chip-Free Electromagnetic Side-Channel Statistical Analysis
2949 -- 2961Cesar Acero, Derek Feltham, Yingdi Liu, Elham K. Moghaddam, Nilanjan Mukherjee, Marek Patyra, Janusz Rajski, Sudhakar M. Reddy, Jerzy Tyszer, Justyna Zawada. Embedded Deterministic Test Points
2962 -- 2965Xiaoyu Sun, Rui Liu, Yi-Ju Chen, Hsiao-Yun Chiu, Wei-Hao Chen, Meng-Fan Chang, Shimeng Yu. Low-VDD Operation of SRAM Synaptic Array for Implementing Ternary Neural Network
2966 -- 2970Antonio J. Ginés, Eduardo J. Peralías, Adoración Rueda. Fast Background Calibration of Sampling Timing Skew in SHA-Less Pipeline ADCs
2971 -- 2975Christopher Fritz, Adly T. Fam. Fast Binary Counters Based on Symmetric Stacking
2976 -- 2980Yangxurui Liu, Liang Liu, Viktor Öwall. Architecture Design of a Memory Subsystem for Massive MIMO Baseband Processing

Volume 25, Issue 1

1 -- 20Krishnendu Chakrabarty, Massimo Alioto, Bevan M. Baas, Chirn Chye Boon, Meng-Fan Chang, Naehyuck Chang, Yao-Wen Chang, Chip-Hong Chang, Shih-Chieh Chang, Poki Chen, Masud H. Chowdhury, Pasquale Corsonello, Ibrahim Abe M. Elfadel, Said Hamdioui, Masanori Hashimoto, Tsung-Yi Ho, Houman Homayoun, Yuh-Shyan Hwang, Rajiv V. Joshi, Tanay Karnik, Mehran Mozaffari Kermani, Chulwoo Kim, Tae-Hyoung Kim, Jaydeep P. Kulkarni, Eren Kursun, Erik Larsson, Hai Helen Li, Huawei Li, Patrick Mercier, Prabhat Mishra, Makoto Nagata, Arun S. Natarajan, Koji Nii, Partha Pratim Pande, Ioannis Savidis, Mingoo Seok, Sheldon X.-D. Tan, Mark Mohammad Tehranipoor, Aida Todri-Sanial, Miroslav N. Velev, Miroslav N. Velev, Jiang Xu, Wei Zhang, Zhengya Zhang, Stacey Weber Jackson. Editorial
21 -- 34Nuno Miguel Cardanha Paulino, João Canas Ferreira, João M. P. Cardoso. Generation of Customized Accelerators for Loop Pipelining of Binary Instruction Traces
35 -- 47Muhammad Umar Karim Khan, Asim Khan, Chong-Min Kyung. EBSCam: Background Subtraction for Ubiquitous Computing
48 -- 59Azadeh Alsadat Emrani Zarandi, Amir Sabbagh Molahosseini, Leonel Sousa, Mehdi Hosseinzadeh. P-1}
60 -- 74Enyi Yao, Arindam Basu. VLSI Extreme Learning Machine: A Design Space Exploration
75 -- 86Saeid Gorgin, Ghassem Jaberipur. Sign-Magnitude Encoding for Efficient VLSI Realization of Decimal Multiplication
87 -- 99Jun Lin, Zhiyuan Yan, Zhongfeng Wang. Efficient Soft Cancelation Decoder Architectures for Polar Codes
100 -- 113Jon J. Pimentel, Brent Bohnenstiehl, Bevan M. Baas. Hybrid Hardware/Software Floating-Point Implementations for Optimized Area and Throughput Tradeoffs
114 -- 124Poki Chen, Ya-Yun Hsiao, Yi-Su Chung, Wei Xiang Tsai, Jhih-Min Lin. A 2.5-ps Bin Size and 6.7-ps Resolution FPGA Time-to-Digital Converter Based on Delay Wrapping and Averaging
125 -- 138Munehiro Kozuma, Yuki Okamoto, Takashi Nakagawa, Takeshi Aoki, Yoshiyuki Kurokawa, Takayuki Ikeda, Yoshinori Ieda, Naoto Yamade, Hidekazu Miyairi, Makoto Ikeda, Masahiro Fujita, Shunpei Yamazaki. Subthreshold Operation of CAAC-IGZO FPGA by Overdriving of Programmable Routing Switch and Programmable Power Switch
139 -- 150Bo-Cheng Charles Lai, Jiun-Liang Lin. Efficient Designs of Multiported Memory on FPGA
151 -- 164Marco Rabozzi, Gianluca Carlo Durelli, Antonio Miele, John Lillis, Marco Domenico Santambrogio. Floorplanning Automation for Partial-Reconfigurable FPGAs via Feasible Placements Generation
165 -- 176Zia Uddin Ahamed Khan, Mohammed Benaissa. m) on FPGA
177 -- 188Wenchao Qian, Christopher Babecki, Robert Karam, Somnath Paul, Swarup Bhunia. ENFIRE: A Spatio-Temporal Fine-Grained Reconfigurable Hardware
189 -- 197Joao Pedro Cerqueira, Mingoo Seok. Temporarily Fine-Grained Sleep Technique for Near- and Subthreshold Parallel Architectures
198 -- 209Alireza Mahzoon, Bijan Alizadeh. OptiFEX: A Framework for Exploring Area-Efficient Floating Point Expressions on FPGAs With Optimized Exponent/Mantissa Widths
210 -- 223Erfan Azarkhish, Christoph Pfister, Davide Rossi, Igor Loi, Luca Benini. Logic-Base Interconnect Design for Near Memory Computing in the Smart Memory Cube
224 -- 237Ahmad T. Sheikh, Aiman H. El-Maleh, Muhammad E. S. Elrabaa, Sadiq M. Sait. A Fault Tolerance Technique for Combinational Circuits Based on Selective-Transistor Redundancy
238 -- 246Martin Omaña, Daniele Rossi, Filippo Fuzzi, Cecilia Metra, Chandra Tirumurti, Rajesh Galivanche. Scalable Approach for Power Droop Reduction During Scan-Based Logic BIST
247 -- 260Mohsen Raji, Behnam Ghavami. Soft Error Rate Reduction of Combinational Circuits Using Gate Sizing in the Presence of Process Variations
261 -- 270Mina A. Farhan, Michel S. Nakhla, Emad Gad, Ramachandra Achar. Parallel High-Order Envelope-Following Method for Fast Transient Analysis of Highly Oscillatory Circuits
271 -- 285Seongbo Shim, Woohyun Chung, Youngsoo Shin. Lithography Defect Probability and Its Application to Physical Design Optimization
286 -- 293Albert Ciprut, Eby G. Friedman. Modeling Size Limitations of Resistive Crossbar Array With Cell Selectors
294 -- 307Marvin Damschen, Lars Bauer, Jörg Henkel. Timing Analysis of Tasks on Runtime Reconfigurable Processors
308 -- 318Saikat Mondal, Sang-Bock Cho, Bruce C. Kim. Modeling and Crosstalk Evaluation of 3-D TSV-Based Inductor With Ground TSV Shielding
319 -- 329Xiaoliang Dai, Niraj K. Jha. Improving Convergence and Simulation Time of Quantum Hydrodynamic Simulation: Application to Extraction of Best 10-nm FinFET Parameter Values
330 -- 343Edoardo Fusella, Alessandro Cilardo. 2ONoC: A Hybrid Optical-Electronic NoC Based on Hybrid Topology
344 -- 353Junyoung Song, Hyun-Woo Lee, Sewook Hwang, Chulwoo Kim. A 10 Gbits/s/pin DFE-Less Graphics DRAM Interface With Adaptive-Bandwidth PLL for Avoiding Noise Interference and CIJ Reduction Technique
354 -- 363Yan Zhu 0001, Chi-Hang Chan, Seng-Pan U, Rui Paulo Martins. A 10-bit 500-MS/s Partial-Interleaving Pipelined SAR ADC With Offset and Reference Mismatch Calibrations
364 -- 374Arshad Hussain, Sai-Weng Sin, Chi-Hang Chan, Ben Seng-Pan U, Franco Maloberti, Rui Paulo Martins. Active-Passive ΔΣ Modulator for High-Resolution and Low-Power Applications
375 -- 379Mario Garrido, Miguel Angel Sánchez, María Luisa López Vallejo, Jesús Grajal. A 4096-Point Radix-4 Memory-Based FFT Using DSP Slices
380 -- 384Tae-Ho Lee, Yong Hun Kim, Lee-Sup Kim. A 5-Gb/s Digital Clock and Data Recovery Circuit With Reduced DCO Supply Noise Sensitivity Utilizing Coupling Network
385 -- 387Mohammed Zackriya V, Harish M. Kittur. Content Addressable Memory - Early Predict and Terminate Precharge of Match-Line
388 -- 392Archit Joshi, Mukul Sarkar. Nonlinearity Estimation for Compensation of Phase Interpolator in Bang-Bang CDRs