Abstract is missing.
- Enhancing industry participation in ISCAS and Circuits and Systems SocietyMani Soma. [doi]
- Prediction of protein-coding regions in DNA sequences using a model-based approach [doi]
- Design of an ultra-low power SA-ADC with medium/high resolution and speedAndrea Agnes, Edoardo Bonizzoni, Franco Maloberti. 1-4 [doi]
- A power scalable 6-bit 1.2GS/s flash ADC with power on/off Track-and-Hold and preamplifierHe Gong Wei, U. Fat Chio, Sai-Weng Sin, Seng-Pan U., Rui Paulo Martins. 5-8 [doi]
- A 52mW 0.56mm:::2::: 1.2V 12b 120MS/s SHA-Free dual-channel Nyquist ADC based on mid-code calibrationHee-Cheol Choi, Young-Ju Kim, Se-Won Lee, Jae-Yeol Han, Oh-Bong Kwon, Younglok Kim, Seung-Hoon Lee. 9-12 [doi]
- A 0.9V 10-bit 100 MS/s switched-RC pipelined ADC without using a front-end S/H in 90nm CMOSSedigheh Hashemi, Omid Shoaei. 13-16 [doi]
- General analysis on the impact of phase-skew in time-interleaved ADCsManar El-Chammas, Boris Murmann. 17-20 [doi]
- A high-speed 2-D transform architecture with unique kernel for multi-standard video applicationsChong-Yu Huang, Lien-Fei Chen, Yeong-Kang Lai. 21-24 [doi]
- HP422-MoCHA: A H.264/AVC High Profile motion compensation architecture for HDTVBruno Zatt, Altamiro Amadeu Susin, Sergio Bampi, Luciano Volcan Agostini. 25-28 [doi]
- Frame-parallel design strategy for high definition B-frame H.264/AVC encoderYi-Hau Chen, Tzu-Der Chuang, Yu-Han Chen, Chen-Han Tsai, Liang-Gee Chen. 29-32 [doi]
- Prediction-based real-time CABAC decoder for high definition H.264/AVCWonHee Son, In-Cheol Park. 33-36 [doi]
- A HW CABAC encoder with efficient context access scheme for H.264/AVCX. H. Tian, Thinh M. Le, X. Jiang, Yong Lian. 37-40 [doi]
- New narrowband active noise control systems with significantly less computational requirementsYegui Xiao, Maha Shadaydeh, Rabab Kreidieh Ward. 41-44 [doi]
- Minimum redundancy MIMO radarsChun-Yang Chen, Palghat P. Vaidyanathan. 45-48 [doi]
- Sliding window online Kernel-based classification by projection mappingsKonstantinos Slavakis, Sergios Theodoridis. 49-52 [doi]
- Semi-blind data-selective algorithms for channel equalizationPaulo S. R. Diniz, Markus V. S. Lima, Wallace A. Martins. 53-56 [doi]
- Fixed-point analysis of adaptive filters based on the EDS algorithmZhongkai Zhang, Tamal Bose, Miloje S. Radenkovic. 57-60 [doi]
- BW extension in shunt feedback transimpedance amplifiers using negative miller capacitanceSushmit Goswami, Tino Copani, Bert Vermeire, Hugh Bamaby. 61-64 [doi]
- Tunable transimpedance amplifiers with constant bandwidth for optical communicationsMaria Teresa Sanz, Jose Maria Garcia del Pozo, Santiago Celma, Juan Pablo Alegre, Arturo Sarmiento. 65-68 [doi]
- A simple class-AB transconductor in CMOSBradley A. Minch. 69-72 [doi]
- A -72 dB @ 2 MHz IM3 CMOS tunable pseudo-differential transconductorClara Isabel Lujan-Martinez, Antonio B. Torralba, Ramón González Carvajal, Jaime Ramírez-Angulo, Antonio J. López-Martín. 73-76 [doi]
- Bulk-driven gain-enhanced fully-differential amplifier for VT + 2Vdsat operationKent D. Layton, Donald T. Corner, David J. Corner. 77-80 [doi]
- Coefficient decimation approach for realizing reconfigurable finite impulse response filtersRaveendranatha P. Mahesh, Achutavarrier Prasad Vinod. 81-84 [doi]
- A reconfigurable multi-stage frequency response masking filter bank architecture for software defined radio receiversKavallur Pisharath G. Smitha, Raveendranatha P. Mahesh, Achutavarrier Prasad Vinod. 85-88 [doi]
- Concept for an adaptive digital front-end for multi-mode wireless receiversGernot Hueber, Rainer Stuhlberger, Andreas Springer. 89-92 [doi]
- Filter bank based frequency-domain equalizers with diversity combiningTero Ihalainen, Yuan Yang, Markku Renfors. 93-96 [doi]
- Binary de Bruijn interconnection network for a flexible LDPC/turbo decoderHazem Moussa, Amer Baghdadi, Michel Jézéquel. 97-100 [doi]
- Network topology estimation through synchronization: A case study on quantum dot CNNMarco Righero, Paolo Checco, Mario Biey, Ljupco Kocarev. 101-104 [doi]
- Solving ability of Hopfield Neural Network with scale-rule noise for QAPYoshifumi Tada, Yoko Uwate, Yoshifumi Nishio. 105-108 [doi]
- Topology identification of an uncertain general complex dynamical networkHui Liu, Junan Lu, Jinhu Lu. 109-112 [doi]
- Wave propagation in oscillators coupled by time-varying resistor with timing mismatchYoko Uwate, Yoshifumi Nishio. 113-116 [doi]
- Constraint modules: An introductionPiotr Mitros. 117-120 [doi]
- Recently developed approaches for solving blind deconvolution of MIMO-IIR Systems: Super-exponential and eigenvector methodsMitsuru Kawamoto, Yujiro Inouye, Kiyotaka Kohno. 121-124 [doi]
- Semi-blind channel estimation of MIMO-OFDM systems with pulse shapingFeng Wan, Wei-Ping Zhu, M. N. S. Swamy. 125-128 [doi]
- Perturbation analysis of subspace-based semi-blind MIMO channel estimation approachesFeng Wan, Wei-Ping Zhu, M. N. S. Swamy. 129-132 [doi]
- Blind identification of MIMO channels with periodic precodersWeizhou Su, Qingqi Bi, Wei Xing Zheng, Shengli Xie. 133-136 [doi]
- Blind block synchronization algorithms in cyclic prefix systemsBorching Su, Palghat P. Vaidyanathan. 137-140 [doi]
- Power-delay optimization in MCML tapered buffersMassimo Alioto, Gaetano Palumbo. 141-144 [doi]
- Improving the power-delay product in SCL circuits using source follower output stageArmin Tajalli, Frank K. Gürkaynak, Yusuf Leblebici, Massimo Alioto, Elizabeth J. Brauer. 145-148 [doi]
- An 8-bit 1.8 V 500 MS/s CMOS DAC with a novel four-stage current steering architectureSantanu Sarkar 0002, Ravi Sankar Prasad, Sanjoy Kumar Dey, Vinay Belde, Swapna Banerjee. 149-152 [doi]
- Transistor-level programmable MOS analog IC with body biasingToru Fujimura, Shigetoshi Nakatake. 153-156 [doi]
- High speed serial interface for mobile LCD driver ICHyun-Kyu Jeon, Hye-Ran Kim, Jung Min Choi, Ju-Pyo Hong, Yong-Suk Kim, Hyung-Seog Oh, Dae-Keun Han, Lee-Sup Kim. 157-160 [doi]
- A two-stator MEMS power generator for cardiac pacemakersJose Martinez-Quijada, Sazzadur Chowdhury. 161-164 [doi]
- A fully differential CMOS capacitance sensor design, testing and array architectureSomashekar Bangalore Prakash, Pamela Abshire. 165-168 [doi]
- Graphene nanoribbon field-effect transistorsStephen Thornhill, Nathanael Wu, Zhengfei Wang, Qinwei Shi, Jie Chen. 169-172 [doi]
- Analyzing mixed carbon nanotube bundles: A current density studyLiwei Shang, Ming Liu, Sansiri Tanachutiwat, Wei Wang. 173-176 [doi]
- Carbon nanotube circuit design choices in the presence of metallic tubesRehman Ashraf, Malgorzata Chrzanowska-Jeske, Siva G. Narendra. 177-180 [doi]
- Bilateral design of mm-wave LNA and receiver front-end in 90nm CMOSKaChun Kwok. 181-184 [doi]
- A 700Mbit/s CMOS capacitive feedback front-end amplifier with automatic gain control for broadband optical wireless linksYiling Zhang, Valencia Joyner, Ruida Yun, Sameer R. Sonkusale. 185-188 [doi]
- 124dB.Hz:::2/3::: Dynamic range transimpedance amplifier for electronic-photonic channelizerAnthony Kopa, Alyssa B. Apsel. 189-192 [doi]
- A 10 Gb/s optical receiver in 0.25 µm silicon-on-sapphire CMOSPaul C. P. Chen, Anand Pappu, Zhongtao Fu, Woradorn Wattanapanitch, Alyssa B. Apsel. 193-196 [doi]
- An optically powered, free space optical communications receiverJingjing Liu, Grahame E. Faulkner, Steve Collins, Dominic C. O Brien, S. J. Elston. 197-200 [doi]
- Minimum energy broadcasting in wireless networks (extended abstract)Toshinori Yamada. 201-204 [doi]
- Minimization of I/O Delay in the architectural synthesis of DSP data flow graphsAwni Itradat, M. Omair Ahmad, Ali Shatnawi. 205-208 [doi]
- A method for verifying deadlock freedom and liveness of petri netsLi Jiao. 209-211 [doi]
- On the three-dimensional orthogonal drawing of series-parallel graphs (extended abstract)Satoshi Tayu, Kumiko Nomura, Shuichi Ueno. 212-215 [doi]
- Versatile graphs for tail-biting convolutional codesMohammad Reza Zahabi, Vahid Meghdadi, Hamid Meghdadi, Jean-Pierre Cances. 216-219 [doi]
- Power-and-area efficient 14-bit 1.5 MSample/s two-stage algorithmic ADC based on a mismatch-insensitive MDACBruno Esperanca, João Goes, Rui Tavares, Acacio Galhardo, Nuno F. Paulino, M. M. Silva. 220-223 [doi]
- A 10-bit 8.3MS/s switched-current successive approximation ADC for column-parallel imagersZheng Yang, Jan Van der Spiegel. 224-227 [doi]
- Predictive timing error calibration technique for RF current-steering DACsYongjian Tang, Hans Hegt, Arthur H. M. van Roermund. 228-231 [doi]
- New simple digital self-calibration technique for pipeline ADCs using the internal thermal noiseMichael Figueiredo, Nuno F. Paulino, Guiomar Evans, João Goes. 232-235 [doi]
- Capacitor array structure and switch control for energy-efficient SAR analog-to-digital convertersJeong-Sup Lee, In-Cheol Park. 236-239 [doi]
- Area efficient controller design of barrel shifters for reconfigurable LDPC decodersDaesun Oh, Keshab K. Parhi. 240-243 [doi]
- A fault-tolerant, DFA-resistant AES coreCarlos Roberto Moratelli, Felipe Ghellar, Érika F. Cota, Marcelo Lubaszewski. 244-247 [doi]
- Modeling and exploration of a reconfigurable architecture for digital holographic imagingThomas Lenart, Henrik Svensson, Viktor Öwall. 248-251 [doi]
- Leakage-based differential power analysis (LDPA) on sub-90nm CMOS cryptosystemsLang Lin, Wayne Burleson. 252-255 [doi]
- A motion compensation system with a high efficiency reference frame pre-fetch scheme for QFHD H.264/AVC decodingPing Chao, Youn-Long Lin. 256-259 [doi]
- Discrete tchebichef transform-A fast 4x4 algorithm and its application in image/video compressionSujata Ishwar, Pramod Kumar Meher, M. N. S. Swamy. 260-263 [doi]
- Multiframe image super-resolution using quasi-newton algorithmsDiego A. Sorrentino, Andreas Antoniou. 264-267 [doi]
- Application of scalable visual sensitivity profile in image and video codingQian Chen, Guangtao Zhai, Xiaokang Yang, Wenjun Zhang. 268-271 [doi]
- Modeling of the DCT coefficients of imagesMd. Imamul Hassan Bhuiyan, M. Omair Ahmad, M. N. S. Swamy. 272-275 [doi]
- Target region-aware tone reproductionDun-Yu Hsiao, Hong-Yuan Mark Liao. 276-279 [doi]
- A 1.5 bit 5:::th::: order CT/DT delta sigma class D amplifier with power efficiency improvementChung-Wei Lin, Yung-Ping Lee, Wen Tsao Chen. 280-283 [doi]
- PSRR of bridge-tied load PWM Class D AmpsTong Ge, Joseph Sylvester Chang, Wei Shu. 284-287 [doi]
- High slew rate two stage A/AB and AB/AB op-amps with phase lead compensation at output node and local common mode feedbackJaime Ramírez-Angulo, Ramón González Carvajal, Antonio J. López-Martín. 288-291 [doi]
- A simple approach for the implementation of CMOS amplifiers with constant bandwidth independent of gainLuci Acosta-Cabanillas, Ramón González Carvajal, Jaime Ramírez-Angulo, Antonio J. López-Martín. 292-295 [doi]
- A novel topology in RNMC amplifiers with single miller compensation capacitorMajid Jalalifar, Mohammad Yavari, Farshid Raissi. 296-299 [doi]
- VLSI architecture for data-reduced steering matrix feedback in MIMO systemsChristoph Studer, Peter Luethi, Wolfgang Fichtner. 300-303 [doi]
- Hardware-efficient steering matrix computation architecture for MIMO communication systemsChristian Senning, Christoph Studer, Peter Luethi, Wolfgang Fichtner. 304-307 [doi]
- A single-FPGA multipath MIMO fading channel simulatorAmirhossein Alimohammad, Saeed Fouladi Fard, Bruce F. Cockburn, Christian Schlegel. 308-311 [doi]
- A modified MMSE-SD soft detector for coded MIMO-OFDM systemsYongmei Dai, Zhiyuan Yan. 312-315 [doi]
- The application of lattice-reduction to the K-Best algorithm for near-optimal MIMO detectionMahdi Shabany, P. Glenn Gulak. 316-319 [doi]
- Introducing Complex Oscillation Based Test: an application example targeting Analog to Digital ConvertersSergio Callegari. 320-323 [doi]
- Stability study of the TCP-RED system using detrended fluctuation analysisXi Chen, Siu Chung Wong, Chi Kong Tse, Ljiljana Trajkovic. 324-327 [doi]
- Rotation map with a controlling segment and its application to A/D convertersYusuke Matsuoka, Toshimichi Saito. 328-331 [doi]
- A quantum-dot light-harvesting architecture using deterministic phase controlCherry Wakayama, Wolf Kohn, Zelda B. Zabinsky, C.-J. Richard Shi. 332-335 [doi]
- A method based on a genetic algorithm to find PWL approximations of multivariate nonlinear functionsDaniele Linaro, Marco Storace. 336-339 [doi]
- General-pupose technology for a general-purpose nervous systemGerald E. Loeb, Jack Wills. 340-343 [doi]
- Pulse-based signal compression for implanted neural recording systemsJohn G. Harris, Jose C. Principe, Justin C. Sanchez, Du Chen, Christy She. 344-347 [doi]
- Radios for the brain? a practical micropower sensing and algorithm architecture for neurostimulatorsWesley Santa, Randy Jensen, Keith Miesel, Dave Carlson, Al Avestruz, G. Molnar, T. Denison. 348-351 [doi]
- Implant electronics for intraocular epiretinal neuro-stimulatorsTorsten Lehmann, Nigel H. Lovell, Gregg J. Suaning, Philip Preston, Yan T. Wong, Norbert Dommel, Louis H. Jung, Yashodhan Moghe, Kushal Das. 352-355 [doi]
- Stimulation and recording of neural tissue, closing the loop on the artifactEdgar A. Brown, James D. Ross, Richard A. Blum, Stephen P. DeWeerth. 356-359 [doi]
- Power-aware topology optimization for networks-on-chipsHaytham Elmiligi, Ahmed A. Morgan, M. Watheq El-Kharashi, Fayez Gebali. 360-363 [doi]
- Design target exploration for meeting time-to-market using pareto analysisCharles Thangaraj, Tom Chen. 364-367 [doi]
- A quantitative evaluation of C-based synthesis on heterogeneous embedded systems designOmar Hammami, Zoukun Wang, Virginie Fresse, Dominique Houzet. 368-371 [doi]
- A robust alternate repeater technique for high performance busses in the multi-core eraHimanshu Kaul, Jae-sun Seo, Mark Anders, Dennis Sylvester, Ram Krishnamurthy. 372-375 [doi]
- Input port reduction for efficient substrate extraction in large scale IC sEmre Salman, Renatas Jakushokas, Eby G. Friedman, Radu M. Secareanu, Olin L. Hartin. 376-379 [doi]
- Variation-tolerant, low-power PN-code acquisition using stochastic sensor NOCGirish Varatkar, Sriram Narayanan, Naresh R. Shanbhag, Douglas L. Jones. 380-383 [doi]
- Capacitive coupling based transient negative bit-line voltage (Tran-NBL) scheme for improving write-ability of SRAM design in nanometer technologiesSaibal Mukhopadhyay, Rahul M. Rao, Jae-Joon Kim, Ching-Te Chuang. 384-387 [doi]
- Low variation current source for 90nm CMOSXuan Zhang, Anand Pappu, Alyssa B. Apsel. 388-391 [doi]
- Design of process variation tolerant radio frequency low noise amplifierShreyas Sen, Abhijit Chatterjee. 392-395 [doi]
- Binary translation process to optimize nanowire arrays usageEduardo Luis Rhod, Mateus B. Rutzig, Luigi Carro. 396-399 [doi]
- Cross-dimensional quality assessment for low bitrate videoGuangtao Zhai, Weisi Lin, Jianfei Cai, Xiaokang Yang, Wenjun Zhang, Minoru Etoh. 400-403 [doi]
- Backward-forward distortion minimization for binary images data hidingHuijuan Yang, Alex C. Kot. 404-407 [doi]
- Peceptual distortion metric based on wavelet frequency sensitivity and multiple visual fixationsSusu Yao, Ee Ping Ong, Mei Hwan Loke. 408-411 [doi]
- Adaptive feature selection for digital camera source identificationMin-Jen Tsai, Chen-Sheng Wang. 412-415 [doi]
- On the quality assessment of sound signalsAmaro A. de Lima, Fabio P. Freeland, Rafael A. de Jesus, Bruno C. Bispo, Luiz W. P. Biscainho, Sergio L. Netto, Amir Said, Antonius A. C. M. Kalker, Ronald Schafer, Bowon Lee, Mehrban Jam. 416-419 [doi]
- A two-neuron cross-correlation circuit with a wide and continuous range of time delayJonathan Tapson, Mark P. Vismer, Craig T. Jin, André van Schaik, Fopefolu O. Folowosele, Ralph Etienne-Cummings. 420-423 [doi]
- Fall detection using an address-event temporal contrast vision sensorZhengming Fu, Eugenio Culurciello, Patrick Lichtsteiner, Tovi Delbruck. 424-427 [doi]
- Bifurcations in a silicon neuronArindam Basu, Csaba Petre, Paul E. Hasler. 428-431 [doi]
- A biophysically based dendrite model using programmable floating-gate devicesStephen Brink, Scott Koziol, Shubha Ramakrishnan, Paul E. Hasler. 432-435 [doi]
- The time derivative neuronJie Xu, John G. Harris. 436-439 [doi]
- Quadrature generation techniques for frequency multiplication based oscillatorsJagdish Nayayan Pandey, Bharadwaj Amrutur, Sudhir S. Kudva. 440-443 [doi]
- Improved 6.7GHz CMOS VCO delay cell with up to seven octave tuning rangeLi Ke, Reuben Wilcock, Peter Wilson. 444-447 [doi]
- A fully differential charge pump with accurate current matching and rail-to-rail common-mode feedback circuitZhenyu Yang, Zhangwen Tang, Hao Min. 448-451 [doi]
- A charge-pump based 0.35µm CMOS RF switch driver for multi-standard operationsJeongwon Cha, Minsik Ahn, Changhyuk Cho, Chang-Ho Lee, Joy Laskar. 452-455 [doi]
- Low-voltage bulk-driven mixer with on-chip balunDaryl Van Vorst, Shahriar Mirabbasi. 456-459 [doi]
- A 65nm 10GHz pipelined MAC structureFatemeh Kashfi, Sied Mehdi Fakhraie, Saeed Safari. 460-463 [doi]
- A new look-up table-based multiplier/squarer design for cryptosystems over GF(2:::m:::)Wen-Ching Lin, Jun-Hong Chen, Ming-Der Shieh. 464-467 [doi]
- An automatic hardware generator for special arithmetic functions using various ROM-based approximation approachesShen-Fu Hsiao, Ping-Chung Wei, Ching-Pin Lin. 468-471 [doi]
- A high performance floating-point special function unit using constrained piecewise quadratic approximationDavide De Caro, Nicola Petra, Antonio G. M. Strollo. 472-475 [doi]
- Novel VLSI implementation of Peano-Hilbert curve address generatorYan Wang, Chen Shoushun, Amine Bermak. 476-479 [doi]
- The efficient VLSI design of BI-CUBIC convolution interpolation for digital image processingChung-Chi Lin, Ming-Hwa Sheu, Huann-Keng Chiang, Chishyan Liaw, Zeng-chuan Wu. 480-483 [doi]
- A novel CAVLC architecture for H.264 Video encoding at high bit-rateYongseok Yi, Byung Cheol Song. 484-487 [doi]
- Analysis of video filtering on the cell processorArnaldo Azevedo, Cor Meenderinck, Ben H. H. Juurlink, Mauricio Alvarez, Alex Ramírez. 488-491 [doi]
- Efficient intra-4×4 mode decision based on bit-rate estimation in H.264/AVCJiaying Liu, Zongming Guo. 492-495 [doi]
- Bit-depth expansion by adaptive filterChun-Hung Liu, Oscar C. Au, Peter H. W. Wong, Man Cheung Kung, Shen Chang Chao. 496-499 [doi]
- Automated conversion of Simulink designs to analog hardware on an FPAACsaba Petre, Craig Schlottmann, Paul E. Hasler. 500-503 [doi]
- A novel approach for automated model generationLikun Xia, Ian M. Bell, Antony Wilkinson. 504-507 [doi]
- Accurate and reusable macromodeling technique using a fuzzy-logic approachGinés Doménech-Asensi, Juan Hinojosa, Ramón Ruiz Merino, José Ángel Díaz-Madrid. 508-511 [doi]
- Reducing the effects of component mismatch by using relative size informationB. Robert Gregoire, Un-Ku Moon. 512-515 [doi]
- Capacitance ratio approximation in SC filters via genetic algorithmCarlos Fernando Teodósio Soares, Antonio Petraglia. 516-519 [doi]
- VLSI decoding architecture with improved convergence speed and reduced decoding latency for irregular LDPC codes in WiMAXYeong-Luh Ueng, Chung-Jay Yang, Zong-Cheng Wu, Chen-Eng Wu, Yu-Lun Wang. 520-523 [doi]
- Enhanced delta-based layered decoding of WiMAX QC-LDPC codesTzu-Chieh Kuo, Alan N. Willson Jr.. 524-527 [doi]
- Switching activity reducing layered decoding algorithm for LDPC codesShu-Cheng Chou, Mong-Kai Ku, Chia-Yu Lin. 528-531 [doi]
- A dual-core programmable decoder for LDPC convolutional codesMarcos B. S. Tavares, Emil Matús, Steffen Kunze, Gerhard Fettweis. 532-535 [doi]
- Adaptive quantization in min-sum based irregular LDPC decoderSangmin Kim, Gerald E. Sobelman, Hanho Lee. 536-539 [doi]
- State discontinuity analysis of linear switched systems via energy function optimizationRoberto Frasca, M. Kanat Camlibel, Izzet Cem Göknar, Luigi Iannelli, Francesco Vasca. 540-543 [doi]
- Injection locking conditions under small periodic excitationsMark M. Gourary, Sergey G. Rusakov, Sergey L. Ulyanov, Michael M. Zharov, Brian J. Mulvaney, Kiran K. Gullapalli. 544-547 [doi]
- Linear probability feedback processesRiccardo Rovatti, Gianluca Mazzini, Gianluca Setti, Stefano Vitali. 548-551 [doi]
- A comparative study of the new LQ-MCS control on an automotive electro-mechanical systemMario di Bernardo, Alessandro di Gaeta, Umberto Montanaro, Stefania Santini. 552-555 [doi]
- Stability analysis and control of bifurcations of parallel connected DC/DC converters using the monodromy matrixAbdulmajed Elbkosh, Damian Giaouris, Volker Pickert, Bashar Zahawi, S. Banerjee. 556-559 [doi]
- Digitally enhanced analog circuits: System aspectsBoris Murmann, Christian Vogel, Heinz Koeppl. 560-563 [doi]
- Performance enhancement of linear power amplifier employing digital techniqueBumman Kim, Jangheon Kim, Jinsung Choi, Ildu Kim. 564-567 [doi]
- Mitigation of CMOS device variability in the transmitter amplitude path using Digital RF ProcessingKhurram Waheed, Robert B. Staszewski. 568-571 [doi]
- Mixed-domain system representation using Volterra seriesMartin Hasler, Gernot Kubin. 572-575 [doi]
- A new orthogonal online digital calibration for time-interleaved analog-to-digital convertersGuillaume Ferré, Maher Jridi, Lilian Bossuet, Bertrand Le Gal, Dominique Dallet. 576-579 [doi]
- A Dual-Vt low leakage SRAM array robust to process variationsJungseob Lee, Lin Xie, Azadeh Davoodi. 580-583 [doi]
- A portless SRAM Cell using stunted wordline driversMichael Wieckowski, Martin Margala. 584-587 [doi]
- Presetting pulse-based flip-flopChul Soo Kim, Joo-Seong Kim, Bai-Sun Kong, Moon Yongsam, Young-Hyun Jun. 588-591 [doi]
- High speed digital CMOS divide-by-N fequency dividerSaleh Abdel-Hafeez, Shadi M. Harb, William R. Eisenstadt. 592-595 [doi]
- A design methodology for logic paths tolerant to local intra-die variationsDaniel Iparraguirre-Cardenas, Jose Luis Garcia-Gervacio, Víctor H. Champac. 596-599 [doi]
- An experimental study on multi-island structures for single-electron tunneling based threshold logicVenketeshwaran Puthucode, Chunhong Chen. 600-603 [doi]
- Limits to a correct operation in RTD-based ternary invertersJuan Núñez, José M. Quintana, Maria J. Avedillo. 604-607 [doi]
- An efficient methodology to evaluate nanoscale circuit fault-tolerance performance based on belief propagationHuifei Rao, Jie Chen, V. H. Zhao, Woon Tiong Ang, I-Chyn Wey, An-Yeu Wu. 608-611 [doi]
- Power-saving nano-scale DRAMs with an adaptive refreshing clock generatorTung-Han Tsai, Chin-Lin Chen, Ching-Li Lee, Chua-Chin Wang. 612-615 [doi]
- Microstrip stepped impedance lowpass filters based on the maxwell-wagner polarization mechanismThemistoklis Prodromakis, Christos Papavassiliou, Kostis Michelakis. 616-619 [doi]
- Early detection of all-zero block in H.264 with new rate-quantization modelsWei Yao, Zhengguo Li, Susanto Rahardja. 620-623 [doi]
- A fast adaptive quantization matrix selection method in H.264/AVCYifu Zhang, Shunliang Mei, Quqing Chen, Zhibo Chen. 624-627 [doi]
- A model parameter and MAD prediction scheme for h.264 macroblock layer rate controlJianpeng Dong, Nam Ling. 628-631 [doi]
- Avoiding unnecessary frame memory access and multi-frame motion estimation computation in H.264/AVCWei-Cheng Lin, Chung-Ho Chen. 632-635 [doi]
- Complexity and memory efficient GOP structures supporting VCR functionalities in H.264/AVCJian Lou, Shan Liu, Anthony Vetro, Ming-Ting Sun. 636-639 [doi]
- Does the brain really outperform Rent s rule?Valeriu Beiu, Walid Ibrahim. 640-643 [doi]
- LVDS interface for AER links with burst mode operation capabilityCarlos Zamarreno-Ramos, Rafael Serrano-Gotarredona, Teresa Serrano-Gotarredona, Bernabé Linares-Barranco. 644-647 [doi]
- A serial communication infrastructure for multi-chip address event systemsDaniel Bernhard Fasnacht, Adrian M. Whatley, Giacomo Indiveri. 648-651 [doi]
- Fully digital AER convolution chip for vision processingLuis Camunas-Mesa, Antonio Acosta-Jimenez, Teresa Serrano-Gotarredona, Bernabé Linares-Barranco. 652-655 [doi]
- A CMOS high IIP2 mixer for multi-standard receiversMohammad B. Vahidfar, Omid Shoaei. 656-659 [doi]
- An ultra low power UHF RFID tag front-end for EPCglobal Gen2 with novel clock-free decoderSung Jin Kim, Min-Chang Cho, Joonhyun Park, Kisuk Song, Yul Kim, SeongHwan Cho. 660-663 [doi]
- 3.1-to-7GHz UWB impulse radio transceiver front-end based on statistical correlation techniqueMuhammad Anis, Reinhard Tielert, Norbert Wehn. 664-667 [doi]
- An open-source-input, ultra-wideband LNA with mixed-voltage ESD protection for full-band (170-to-1700 MHz) mobile TV tunersPui-In Mak, Ka-Hou Ao Ieong, Rui Paulo Martins. 668-671 [doi]
- A UWB CMOS 0.13µm low-noise amplifier with dual loop negative feedbackLuca Antonio De Michele, Wouter A. Serdijn, Gianluca Setti. 672-675 [doi]
- Switching activity estimation for shift-and-add based constant multipliersKenny Johansson, Oscar Gustafsson, Lars Wanhammar. 676-679 [doi]
- High-speed modular multiplication design for public-key cryptosystemsJun-Hong Chen, Wen-Ching Lin, Hao-Hsuan Wu, Ming-Der Shieh. 680-683 [doi]
- Performance analysis of flagged prefix adders with logical effortErdal Oruklu, Vibhuti B. Dave, Jafar Saniie. 684-687 [doi]
- A novel decimal-to-decimal logarithmic converterDongdong Chen, Younhee Choi, Li Chen, Daniel Teng, Khan Wahid, Seok-Bum Ko. 688-691 [doi]
- Low-power logarithmic number system addition/subtraction and their impact on digital filtersIoannis Kouretas, Charalambos Basetas, Vassilis Paliouras. 692-695 [doi]
- Selective enhancement of space-time broadband spiral-waves using 2D IIR digital filtersArjuna Madanayake, Leonard T. Bruton. 696-699 [doi]
- Efficient design of delta operator based 2-D IIR filters using symmetrical decompositionI-Hung Khoo, Hari C. Reddy, P. K. Rajan. 700-703 [doi]
- Video coding with pixel-aligned directional adaptive interpolation filtersDmytro Rusanovskyy, Kemal Ugur, Moncef Gabbouj, Jani Lainema. 704-707 [doi]
- Image deringing using quadtree based block-shift filteringGuangtao Zhai, Jianfei Cai, Weisi Lin, Xiaokang Yang, Wenjun Zhang. 708-711 [doi]
- Statistical detector for wavelet-based image watermarking using modified GH PDFS. M. Mahbubur Rahman, M. Omair Ahmad, M. N. S. Swamy. 712-715 [doi]
- Parameter variation analysis for voltage controlled oscillators in phase-locked loopsIgor Vytyaz, David C. Lee, Un-Ku Moon, Kartikeya Mayaram. 716-719 [doi]
- Oscillation-based DFT for second-order OTA-C filtersMasood ul-Hasan, Yichuang Sun, Xi Zhu, James Moritz. 720-723 [doi]
- Optimization of multi-stage amplifiers in deep-submicron CMOS using a distributed/parallel genetic algorithmRui Santos-Tavares, Nuno F. Paulino, José Higino, João Goes, Joáo P. Oliveira. 724-727 [doi]
- Design methodology for CMOS distributed amplifiersMichael M. Green, Marcelo B. Pisani, Catherine Dehollain. 728-731 [doi]
- A methodology for efficient design of analog circuits using an automated simulation based synthesis toolAmal Kumar Kundu, I. Kharagpur, Tathagato Rai Dastidar, Tarun Kanti Bhattacharyya, Partha Ray. 732-735 [doi]
- Low-power traceback MAP decoding for double-binary convolutional turbo decoderCheng-Hung Lin, Chun-Yu Chen, An-Yeu Wu. 736-739 [doi]
- Energy-efficient soft-output trellis decoder design using trellis quasi-reduction and importance-aware clock skew schedulingYang Liu, Fei Sun, Tong Zhang. 740-743 [doi]
- Analog decoding of trellis coded modulation for multi-level flash memoriesSilvia Solda, Daniele Vogrig, Andrea Bevilacqua, Andrea Gerosa, Andrea Neviani. 744-747 [doi]
- Current-mode memory cell with power down phase for discrete time analog iterative decodersRafal Dlugosz, Vincent Gaudet. 748-751 [doi]
- Multi-mode message passing switch networks applied for QC-LDPC decoderChih-Hao Liu, Chien-Ching Lin, Hsie-Chia Chang, Chen-Yi Lee, Yarsun Hsua. 752-755 [doi]
- Synchronization of first-order time-delay systems generating n-scroll chaotic attractorsSelçuk Kilinç, Mustak E. Yalcin, Serdar Özoguz. 756-759 [doi]
- An efficient and accurate method for computing the invariant measure of piecewise affine chaotic mapsTommaso Addabbo, Ada Fort, Santina Rocchi, Valerio Vignoli. 760-763 [doi]
- Rigorous study of short periodic orbits for the Lorenz systemZbigniew Galias, Warwick Tucker. 764-767 [doi]
- Multi-wing butterfly attractors from the modified Lorenz systemsSimin Yu, Wallace Kit-Sang Tang, Jinhu Lu, Guanrong Chen. 768-771 [doi]
- Formulation and analysis of high-dimensional chaotic mapsYing Liu, Wallace Kit-Sang Tang, Hong Sze Kwok. 772-775 [doi]
- Advanced IC technology - opportunities and challengesMohab Anis. 776-779 [doi]
- Interconnect design and limitations in nanoscale technologiesYehea I. Ismail. 780-783 [doi]
- Electrical modeling and characterization of 3-D viasIoannis Savidis, Eby G. Friedman. 784-787 [doi]
- Work-function engineering for reduced power and higher integration density: An alternative to sizing for stability in FinFET memory circuitsSherif A. Tawfik, Volkan Kursun. 788-791 [doi]
- Performance analysis of optimized carbon nanotube interconnectYehia Massoud, Arthur Nieuwoudt. 792-795 [doi]
- ROM based logic (RBL) design: High-performance and low-power addersBipul Chandra Paul, Shinobu Fujita, Masaki Okajima. 796-799 [doi]
- Multi-reference and multi-block-size motion estimation with flexible mode selection for professional 4: 2: 2 H.264/AVC encoder LSITakayuki Onishi, Takashi Sano, Koyo Nitta, Mitsuo Ikeda, Jiro Naganuma. 800-803 [doi]
- A low-voltage latch-adder based tree multiplierTzu-Yuan Kuo, Jinn-Shyan Wang. 804-807 [doi]
- Programmable LSB-first and MSB-first modular multipliers for ECC in GF(2:::m:::)Ravi Kumar Satzoda, Ramya Muralidharan, Chip-Hong Chang. 808-811 [doi]
- Data reuse analysis of local stereo matchingTsung-Hsien Tsai, Nelson Yen-Chung Chang, Tian-Sheuan Chang. 812-815 [doi]
- Semi-implicit integration method for the time-domain simulation of thermal responsesPaolo Maffezzoni, Lorenzo Codecasa, Dario D Amore, Mauro Santomauro. 816-819 [doi]
- 2xVDD-tolerant crystal oscillator circuit realized with 1xVDD CMOS devices without gate-oxide reliability issueMing-Dou Ker, Tzu-Ming Wang, Hung-Tai Liao. 820-823 [doi]
- Design of self-powered wireless system-on-a-chip sensor nodes for hostile environmentsDavid Barnhart, Tanya Vladimirova, Martin Sweeting. 824-827 [doi]
- Device degradation and resilient computingPeter Glösekötter, Ulrich Greveler, Gilson I. Wirth. 828-831 [doi]
- Adaptive error control for reliable systems-on-chipQiaoyan Yu, Paul Ampadu. 832-835 [doi]
- Hardware-oriented image inpainting for perceptual I-frame error concealmentChing-Yi Chen, Guan-Lin Wu, Shao-Yi Chien. 836-839 [doi]
- Realizing high throughput transforms of H.264/AVCJianjun Li, Majid Ahamdi. 840-843 [doi]
- VLSI friendly computation reduction scheme in H.264/AVC motion estimationYiqing Huang, Satoshi Goto, Takeshi Ikenaga. 844-847 [doi]
- A sub 100 mW H.264/AVC MP@L4.1 integer-pel motion estimation processor VLSI for MBAFF encodingYuichiro Murachi, Kusuke Mizuno, Junichi Miyakoshi, Masaki Hamamoto, Takahiro Iinuma, Tomokazu Ishihara, Fang Yin, Jangchung Lee, Tetsuya Kamino, Hiroshi Kawaguchi, Masahiko Yoshimoto. 848-851 [doi]
- A reconfigurable video embedding transcoder based on H.264/AVC: Design tradeoffs and analysisChih-Hung Li, Wen-Hsiao Peng, Tihao Chiang. 852-855 [doi]
- A digital circuit design of hyperbolic tangent sigmoid function for neural networksChe-Wei Lin, Jeen-Shing Wang. 856-859 [doi]
- Analog VLSI implementation of support vector machine learning and classificationSheng-Yu Peng, Bradley A. Minch, Paul E. Hasler. 860-863 [doi]
- SOM with False-Neighbor degree and its behaviorsHaruna Matsushita, Yoshifumi Nishio. 864-867 [doi]
- Reservoir optimization in recurrent neural networks using kronecker kernelsAli Ajdari Rad, Mahdi Jalili, Martin Hasler. 868-871 [doi]
- A neurofuzzy selfmade network with output dependable on a single parameterJosé Antonio Medina Hernández, Felipe Gomez-Castaneda, Jose A. Moreno-Cadenas. 872-875 [doi]
- Foundational-circuit-based spice simulationTracey Y. Zhou, Dian Zhou, Hua Zhang, Xinyue Niu. 876-879 [doi]
- Synthesis of RF CMOS Low Noise AmplifiersGülin Tulunay, Sina Balkir. 880-883 [doi]
- Accurate statistical analysis of a differential low noise amplifier using a combined SPICE-field solver approachArun V. Sathanur, Ritochit Chakraborty, Vikram Jandhyala. 884-887 [doi]
- Analytical modeling of common-gate low noise amplifiersHamid Nejati, Tamer Ragheb, Yehia Massoud. 888-891 [doi]
- Analog design retargeting by design knowledge reuse and circuit synthesisMatthew Webb, Hua Tang. 892-895 [doi]
- 1-V continuously tunable CMOS bulk-driven transconductor for Gm-C filtersJuan M. Carrillo, J. Francisco Duque-Carrillo, Guido Torelli. 896-899 [doi]
- A CMOS 750MHz fifth-order continuous-time linear phase lowpass filter with gain boostXi Zhu, Yichuang Sun, James Moritz. 900-903 [doi]
- An inverse filter realisation of a single scale Inverse continuous wavelet transformAlexander J. Casson, Esther Rodríguez-Villegas. 904-907 [doi]
- A floating-gate transistor based continuous-time analog adaptive filterJordan D. Gray, Venkatesh Srinivasan, Ryan W. Robucci, Paul E. Hasler. 908-911 [doi]
- A CMOS linear tunable transconductor for continuous-time tunable Gm-C filtersTrinidad Sanchez-Rodriguez, Clara Isabel Lujan-Martinez, Ramón González Carvajal, Jaime Ramírez-Angulo, Antonio J. López-Martín. 912-915 [doi]
- An IIP2 calibration technique for CMOS multi-standard mixersMohammad B. Vahidfar, Omid Shoaei. 916-919 [doi]
- Multi-band combined LNA and mixerMiguel A. Martins, Jorge R. Fernandes, Manuel M. Silva. 920-923 [doi]
- A reconfigurable A/D converter for 4G wireless systemsArtur Silva, Nuno Horta, Jorge Guilherme. 924-927 [doi]
- AMBA AHB bus potocol checker with efficient debugging mechanismYi-Ting Lin, Chien-Chou Wang, Ing-Jer Huang. 928-931 [doi]
- A low complexity complex QR factorization design for signal detection in MIMO OFDM systemsYin-Tsung Hwang, Wei-Da Chen. 932-935 [doi]
- A novel approach for K-best MIMO detection and its VLSI implementationSudip Mondal, Khaled N. Salama, Wersame H. Ali. 936-939 [doi]
- Scalable VLSI architecture for K-best lattice decodersMahdi Shabany, P. Glenn Gulak. 940-943 [doi]
- FPGA implementation of a factorization processor for soft-decision reed-solomon decodingBainan Chen, Xinmiao Zhang. 944-947 [doi]
- Analysis of CORDIC-based triangularization for MIMO MMSE filteringLaurent Boher, Rodrigue Rabineau, Maryline Hélard. 948-951 [doi]
- Dual-mode RNS based programmable decimation filter for WCDMA and WLANaT. K. Shahana, Babita R. Jose, Rekha K. James, K. Poulose Jacob, Sreela Sasi. 952-955 [doi]
- Optimal frame synchronization for DVB-S2Li Qing, Xiaoyang Zeng, Wu Chuan, Zhang Yulong, Deng Yunsong, Jun Han. 956-959 [doi]
- A high-speed four-parallel radix-2:::4::: FFT/IFFT processor for UWB applicationsMinhyeok Shin, Hanho Lee. 960-963 [doi]
- A low-power V-band CMOS low-noise amplifier using current-sharing techniqueHong-Yu Yang, Yo-Sheng Lin, Chi-Chen Chen, S. S. Wong. 964-967 [doi]
- Bandwidth extension for ultra-wideband CMOS low-noise amplifiersBaoyong Chi, Chun Zhang, Zhihua Wang. 968-971 [doi]
- A single-chip UMTS receiver with integrated digital frontend in 0.13 µm CMOSJosef Zipper, Gernot Hueber, Andreas Holm. 972-975 [doi]
- A low-power RF front-end for 2.5 GHz receiversLaura Moreno, Didac Gomez, José Luis González, Diego Mateo, Xavier Aragonès, Roc Berenguer, Héctor Solar. 976-979 [doi]
- A 24GHz low-power CMOS receiver designChen-Yuan Chu, Chien-Cheng Wei, Hui-Chen Hsu, Shu-Hau Feng, Wu-Shiung Feng. 980-983 [doi]
- Design of Class-E power VCO in 65nm CMOS technology: Application to RF transmitter architectureNathalie Deltimple, Yann Deval, Didier Belot, Eric Kerherve. 984-987 [doi]
- A 6-11GHz multi-phase VCO design with active inductorsYu-Te Liao, C.-J. Richard Shi. 988-991 [doi]
- A quadrature oscillator using simplified phase and amplitude calibrationFredrik Jonsson, Håkan Olsson. 992-995 [doi]
- A 0.5-V 3.6/5.2 GHz CMOS multi-band LC VCO for ultra low-voltage wireless applicationsBurak Catli, Mona Mostafa Hella. 996-999 [doi]
- A low-phase-noise LC QVCO with bottom-series coupling and capacitor tappingYang Zhang, Peng Liu, Deuk Hyoun Heo. 1000-1003 [doi]
- Time borrowing technique for design of low-power high-speed multi-modulus prescaler in frequency synthesizerQuan Yuan, Haigang Yang, Fang-yuan Dong, Tao Yin. 1004-1007 [doi]
- Protocol-level performance analysis for anti-collision protocols in RFID systemsMohammed Berhea, Chunhong Chen, Q. M. Jonathan Wu. 1008-1011 [doi]
- Two bit-level pipelined viterbi decoder for high-performance UWB applicationsYong-Je Goo, Hanho Lee. 1012-1015 [doi]
- A novel digitally controlled low noise ring oscillatorTill Kuendiger, Fang Chen, Leonard MacEachern, Samy A. Mahmoud. 1016-1019 [doi]
- A new packet detection algorithm for IEEE 802.15.4a DBO-CSS in AWGN channelSeong-Hyun Jang, Sang-Hun Yoon, Jong-Wha Chong. 1020-1023 [doi]
- Video decoder embedded with temporal LMMSE denoising filterLiwei Guo, Oscar C. Au, Mengyao Ma, Peter H. W. Wong. 1024-1027 [doi]
- Image sensor with focal plane polarization sensitivityViktor Gruev, Jan Van der Spiegel, Nader Engheta. 1028-1031 [doi]
- Self-timed vertacolor dichromatic vision sensor for low power pattern detectionRaphael Berner, Patrick Lichtsteiner, Tobi Delbrück. 1032-1035 [doi]
- Steering with an aVLSI motion detection chipRico Moeckel, Roger Jaeggi, Shih-Chii Liu. 1036-1039 [doi]
- A micro-power asynchronous contrast-based vision sensor wakes-up on motionLeonardo Gasparini, Marco De Nicola, Nicola Massari, Massimo Gottardi. 1040-1043 [doi]
- AER-based robotic closed-loop control systemAngel Jiménez-Fernandez, Rafael Paz-Vicente, Manuel Rivas, Alejandro Linares-Barranco, Gabriel Jiménez, Antón Civit. 1044-1047 [doi]
- Configuring silicon neural networks using genetic algorithmsGarrick Orchard, Alexander Russell, Kevin Mazurek, Francesco Tenore, Ralph Etienne-Cummings. 1048-1051 [doi]
- A bio-inspired closed-loop insulin delivery based on the silicon pancreatic beta-cellMel Ho, Pantelis Georgiou, Suket Singhal, Nick Oliver, Chris Toumazou. 1052-1055 [doi]
- Image convolution using a probabilistic mapper on USB-AER boardRafael Paz-Vicente, Angel Jiménez-Fernandez, Alejandro Linares-Barranco, Gabriel Jiménez-Moreno, Francisco Gomez-Rodriguez, Lourdes Miro-Amarante, Anton Civit-Ballcels. 1056-1059 [doi]
- Real time signal reconstruction from spikes on a digital signal processorJohn G. Harris, Jie Xu, Manu Rastogi, Alexander Singh-Alvarado, Vaibhav Garg, Jose C. Principe, Kalyana Vuppamandla. 1060-1063 [doi]
- A 1.2mW CMOS temporal-difference image sensor for sensor networksZhengming Fu, Eugenio Culurciello. 1064-1067 [doi]
- A novel refractometer architectureBrian Ferguson, Jeff Kissinger, Vaibhav Vaidya, Denise Wilson, Karl Booksh, John Cranney, Bill Largen. 1068-1071 [doi]
- High throughput quantification system for egg populations in caenorhabditis elegansMostafa Mohamed, Brinda Prasad, Wael M. Badawy. 1072-1075 [doi]
- Neuromorphic implementation of active gaze and vergence controlEric K. C. Tsang, Stanley Y. M. Lam, Yicong Meng, Bertram Emil Shi. 1076-1079 [doi]
- A handheld fluorometer for measuring cellular metabolismNicole M. Nelson, David Sander, Marc Dandin, Anshu Sarje, Somashekar Prakash, Honghao Ji, Pamela Abshire. 1080-1083 [doi]
- High-speed adaptive RF phased arrayDimitrios N. Loizos, Paul-Peter Sotiriadis, Gert Cauwenberghs. 1084-1087 [doi]
- Distraction-related EEG dynamics in virtual reality driving simulationChin-Teng Lin, Hong-Zhang Lin, Tzai-Wen Chiu, Chih-Feng Chao, Yu-Chieh Chen, Sheng-Fu Liang, Li-Wei Ko. 1088-1091 [doi]
- Finite element modeling of tissue for optimal ultrasonic transducer array designClyde Clarke, D. Carl White, Ralph Etienne-Cummings. 1092-1095 [doi]
- Calibration and characterization of self-powered floating-gate sensor arrays for long-term fatigue monitoringNizar Lajnef, Shantanu Chakrabartty, Niell Elvin. 1096-1099 [doi]
- A Low noise CMOS image sensor with an emission filter for fluorescence applicationsMarianna Beiderman, Terence Tam, Alexander Fish, Graham A. Jullien, Orly Yadid-Pecht. 1100-1103 [doi]
- Low-power differential photoplethysmographic pulse transit time detector for ambulatory cardiovascular monitoringEduardo Aguilar-Pelaez, Esther Rodríguez-Villegas. 1104-1107 [doi]
- System for thermal measurement of pulse-transit-timeMatthew James, Stefano Gregori, Dalia Fayek. 1108-1111 [doi]
- Application of implantable wireless biomicrosystem for monitoring electrode-nerve impedance of animal after sciatic nerve injuryYu-Ting Li, Jia-Jin Jason Chen, Chou-Ching K. Lin. 1112-1115 [doi]
- Analogue/digital interface and communications aspects in a multi-channel ENG recording asicChristopher T. Clarke, John T. Taylor, Xianhong Xu. 1116-1119 [doi]
- Design of second order digital differentiator using Richardson extrapolation and fractional delayChien-Cheng Tseng, Su-Ling Lee. 1120-1123 [doi]
- Gramian-preserving frequency transformation for linear discrete-time systems using normalized lattice structureShunsuke Koshita, Satoru Tanaka, Masahide Abe, Masayuki Kawamata. 1124-1127 [doi]
- Robust analytical design of equiripple comb FIR filtersPavel Zahradnik, Miroslav Vlcek. 1128-1131 [doi]
- IIR digital filter design via orthogonal projection of singular perturbational model reductionFang Wang, Hon Keung Kwan. 1132-1135 [doi]
- Adaptive channel equalization: A simplified approach using the quantized-LMF algorithmMusa U. Otaru, Azzedine Zerguine, Lahouari Cheded. 1136-1139 [doi]
- Special Fault Tolerant properties of FFT-based transform domain Adaptive FiltersChandra Radhakrishnan, William K. Jenkins. 1140-1143 [doi]
- A new structure for sound reproduction systemLigang Liu, Masahiro Fukumoto, Sachio Saiki. 1144-1147 [doi]
- Tracking analysis of an adaptive IIR notch filter using gradient-based algorithmAloys Mvuma, Shotaro Nishimura, Takao Hinamoto. 1148-1151 [doi]
- High resolution 2-D DOA estimation using second-order partial-differential of MUSIC spectrumKoichi Ichige, Yoshihisa Ishikawa, Hiroyuki Arai. 1152-1155 [doi]
- Design of fractional delay FIR filter using discrete Fourier transform interpolation methodChien-Cheng Tseng, Su-Ling Lee. 1156-1159 [doi]
- Discrete fractional Fourier transform based on the eigenvectors of Grünbaum tridiagonal matrixMagdy T. Hanna, Nabila P. Attalla Seif, M. Waleed Abd El Maguid Ahmed. 1160-1163 [doi]
- Novel DCT-based real-valued discrete Gabor transformLiang Tao, Hon Keung Kwan. 1164-1167 [doi]
- Fast operators for arbitrary warping mapsSalvatore Caporale, Luca De Marchi, Nicolo Speciale. 1168-1171 [doi]
- Kalman filter for robust noise suppression in white and colored noisesNari Tanabe, Toshihiro Furukawa, Hideaki Matsue, Shigeo Tsujii. 1172-1175 [doi]
- A novel algorithm for mobile station location estimation with none line of sight error using robust least M-estimationShaohua Zhao, Shing-Chow Chan. 1176-1179 [doi]
- Model order selection for estimation of Common Acoustical PolesGabriele Bunkheila, Raffaele Parisi, Aurelio Uncini. 1180-1183 [doi]
- A novel technique for the design and DCGA optimization of bilinear-LDI lattice-based digital IF filtersYifan Wu, Behrouz Nowrouzian. 1184-1187 [doi]
- Compass tilt compensation algorithm using CORDICErkka Laulainen, Lauri Koskinen, Marko Kosunen, Kari Halonen. 1188-1191 [doi]
- CHStone: A benchmark program suite for practical C-based high-level synthesisYuko Hara, Hiroyuki Tomiyama, Shinya Honda, Hiroaki Takada, Katsuya Ishii. 1192-1195 [doi]
- Variability-aware design of subthreshold devicesRodrigo Jaramillo-Ramirez, Javid Jaffari, Mohab Anis. 1196-1199 [doi]
- Timing-driven obstacles-avoiding routing tree construction for a multiple-layer systemHsin-Hsiung Huang, Hui-Yu Huang, Yu-Cheng Lin, Tsai-Ming Hsieh. 1200-1203 [doi]
- Thermal aware clock synthesis considering stochastic variation and correlationsChunchen Liu, Ruei-Xi Chen, Jichang Tan, Sharon Fan, Jeffrey Fan, Kia Makki. 1204-1207 [doi]
- Sigma delta ADC with a dynamic reference for accurate temperature and voltage sensingNitz Saputra, Michiel A. P. Pertijs, Kofi A. A. Makinwa, Johan H. Huijsing. 1208-1211 [doi]
- Enhanced multi-bit delta-sigma modulator with two-step pipeline quantizerOmid Rajaee, Un-Ku Moon. 1212-1215 [doi]
- Multi-loop efficient sturdy MASH delta-sigma modulatorsNima Maghari, Un-Ku Moon. 1216-1219 [doi]
- A Wide-band 2-path cross-coupled sigma delta ADCErkan Bilhan, Franco Maloberti. 1220-1223 [doi]
- Design of hybrid continuous-time discrete-time delta-sigma modulatorsHing-Kit Kwan, Siu-Hong Lui, Chi-Un Lei, Yansong Liu, Ngai Wong, Ka-Leung Ho. 1224-1227 [doi]
- Task partitioning algorithm for intra-task dynamic voltage scalingSeungyong Oh, Jungsoo Kim, Seonpil Kim, Chong-Min Kyung. 1228-1231 [doi]
- Robust wide range of supply-voltage operation using continuous adaptive size-ratio gatesSami Kirolos, Yehia Massoud. 1232-1235 [doi]
- Dynamic voltage and frequency scaling circuits with two supply voltagesWayne H. Cheng, Bevan M. Baas. 1236-1239 [doi]
- Power optimization of weighted bit-product summation tree for elementary function generatorSaeeid Tahmasbi Oskuii, Kenny Johansson, Oscar Gustafsson, Per Gunnar Kjeldsberg. 1240-1243 [doi]
- FSMD partitioning for low power using simulated annealingNainesh Agarwal, Nikitas J. Dimopoulos. 1244-1247 [doi]
- Reconfigurable two-dimensional pipeline FFT processor in OFDM cognitive radio systemsShingo Yoshizawa, Kazuto Nishi, Yoshikazu Miyanaga. 1248-1251 [doi]
- A real-time systolic array processor implementation of two-dimensional IIR filters for radio-frequency smart antenna applicationsArjuna Madanayake, Leonard T. Bruton. 1252-1255 [doi]
- A low-complexity high-performance noncoherent receiver for GFSK signalsJinjin He, Jian Cui, Lianxing Yang, Zhongfeng Wang. 1256-1259 [doi]
- Benefit of linearizing power amplifiers in multi-port amplifier subsystemsXinping Huang, Mario Caron. 1260-1263 [doi]
- A simultaneous TX and RX I/Q imbalance calibration methodDengwei Fu. 1264-1267 [doi]
- Analytical solutions of the Class D inverterLouis R. Nerone. 1268-1271 [doi]
- Improved harmonic analysis of RC-active phase shift oscillatorsJohn Taylor, Christopher Clarke. 1272-1275 [doi]
- A varactorless technique for tuning LC oscillators based on loop gain adjustmentYousr M. Abdelmaksoud, Khaled M. Sharaf. 1276-1279 [doi]
- Efficient model reduction of passive electrical networks with a large number of independent sourcesStefan Ludwig, Ljubica Radic-Weissenfeld, Wolfgang Mathis, Werner John. 1280-1283 [doi]
- Invariant sums of higher order sensitivitiesJacek Izydorczyk, Jan Chojcan. 1284-1287 [doi]
- Digital calibration of gain and linearity in a CMOS RF mixerSaul Rodriguez, Ana Rusu, Li-Rong Zheng, Mohammed Ismail. 1288-1291 [doi]
- ESD protection design for fully integrated CMOS RF power amplifiers with waffle-structured SCRMing-Dou Ker, Chun-Yu Lin, Guo-Xuan Meng. 1292-1295 [doi]
- Integrated balun design for dual-band WLAN a/b/g applicationsChao Lu, Olivier Charlon, Mark Bracey, Anh-Vu H. Pham. 1296-1299 [doi]
- Design of broadband inductorless LNAs in ultra-scaled CMOS technologiesAndrea Bevilacqua, Matteo Camponeschi, Marc Tiebout, Andrea Gerosa, Andrea Neviani. 1300-1303 [doi]
- A new WiMAX sigma-delta modulator with constant-Q active inductorsAdrian Tang, Fei Yuan, Eddie Law. 1304-1307 [doi]
- Steady-state analysis of strongly nonlinear Oscillators By Means of Runge-Kutta MethodsPaolo Maffezzoni, Lorenzo Codecasa, Dario D Amore, Mauro Santomauro. 1308-1311 [doi]
- An efficient approach to model distortion in weakly nonlinear Gm - C filtersAmitava Banerjee, Subho Chatterjee, Amit Patra, Siddhartha Mukhopadhyay. 1312-1315 [doi]
- The effect of parameter mismatches in RF VCOAntonio Buonomo, Alessandro Lo Schiavo. 1316-1319 [doi]
- Study of zero-order holder discretization in single input sliding mode control systemsZbigniew Galias, Xinghuo Yu. 1320-1323 [doi]
- Modeling and simulation of micro electromechanical (MEM) beam resonator-based oscillatorsFrederic Nabki, Mourad N. El-Gamal. 1324-1327 [doi]
- Approximate L0 constrained non-negative matrix and tensor factorizationMorten Mørup, Kristoffer Hougaard Madsen, Lars Kai Hansen. 1328-1331 [doi]
- Non-negative matrix factorization in bioinformatics: Towards understanding biological processesAlberto D. Pascual-Montano. 1332-1335 [doi]
- Group learning using contrast NMF : Application to functional and structural MRI of schizophreniaVamsi K. Potluru, Vince D. Calhoun. 1336-1339 [doi]
- Geometric structure of sum-of-rank-1 decompositions for n-dimensional order-p symmetric tensorsOlexiy O. Kyrgyzov, Deniz Erdogmus. 1340-1343 [doi]
- Algorithm for imposing SOBI-type constraints on the CP modelMaarten De Vos, Lieven De Lathauwer, Sabine Van Huffel. 1344-1347 [doi]
- HAFT: A hybrid FPGA with amorphous and fault-tolerant architectureMingjie Lin, Steve Ferguson, Yaling Ma, Timothy Greene. 1348-1351 [doi]
- Finding minimum interconnect sub-arrays in reconfigurable VLSI arraysWu Jigang, Thambipillai Srikanthan, Kai Wang. 1352-1355 [doi]
- An efficient greedy approach to PLA foldingMayur Bubna, Naresh Shenoy, Santanu Chattopadhyay. 1356-1359 [doi]
- VLSI implementation of a shift-enabled reconfigurable arrayScott Miller, Mihai Sima, Michael McGuire. 1360-1363 [doi]
- An asynchronous spike event coding scheme for programmable analog arraysLuiz Carlos Gouveia, Thomas Jacob Koickal, Alister Hamilton. 1364-1367 [doi]
- A study on global robust stability of delayed full-range cellular neural networksMauro Di Marco, Mauro Forti, Massimo Grazzini, Luca Pancioni. 1368-1371 [doi]
- Waves and patterns in delayed oscillatory networksMichele Bonnin, Fernando Corinto, Marco Gilli, Pier Paolo Civalleri. 1372-1375 [doi]
- A nonseparable 3D spatiotemporal bandpass filter with analog networksHenry M. D. Ip, Emmanuel M. Drakakis, Anil A. Bharath. 1376-1379 [doi]
- Spiral waves in bio-inspired oscillatory mediaFernando Corinto, Valentina Lanza, Marco Gilli. 1380-1383 [doi]
- Robust analog neural network based on continuous valued number systemMitra Mirhassani, Majid Ahmadi, Graham A. Jullien. 1384-1387 [doi]
- A self-adapting high dynamic-range visual representation algorithm for AER imagersMauro Tiziani, Nicola Massari, Syed A. Jawed, Massimo Gottardi. 1388-1391 [doi]
- Signal-adapted directional lifting scheme for image compressionWeisheng Dong, Guangming Shi, Jizheng Xu. 1392-1395 [doi]
- 3-D direction aligned wavelet transform for scalable video codingYu Liu, King Ngi Ngan, Feng Wu. 1396-1399 [doi]
- Multi-view depth video coding using depth view synthesisSang-Tae Na, Kwan-Jung Oh, Cheon Lee, Yo-Sung Ho. 1400-1403 [doi]
- Bidirectionally decodable Wyner-Ziv video codingXiaopeng Fan, Oscar C. Au, Yan Chen, Jiantao Zhou, Mengyao Ma. 1404-1407 [doi]
- Low-power Smart CMOS image sensorsAlexander Fish, Orly Yadid-Pecht. 1408-1411 [doi]
- Low power image sensor with polymer polarization filtersViktor Gruev, Jan Van der Spiegel, Nader Engheta. 1412-1415 [doi]
- Phototransistor image sensor in silicon on sapphireJoon Hyuk Park, Eugenio Culurciello. 1416-1419 [doi]
- A 256×256 separable transform CMOS imagerRyan W. Robucci, Jordan D. Gray, David Abramson, Paul E. Hasler. 1420-1423 [doi]
- A Sub-µW fully programmable CMOS DPS for uncooled infrared fast imagingJosep Maria Margarit, Lluís Terés, Francisco Serra-Graells. 1424-1427 [doi]
- Continuous-time DeltaSigma modulators with noise-transfer-function enhancementFurrookh Ali, Anas A. Hamoui. 1428-1431 [doi]
- A new optimization approach for the automatic design of SigmaDelta-modulatorsJens Anders, Wolfgang Mathis, Maurits Ortmanns. 1432-1435 [doi]
- Multibit incremental data converters with reduced sensitivity to mismatchArash Mehrabi, Mohammad Ranjbar, Omid Oliaei. 1436-1439 [doi]
- Efficient fully-floating double-sampling integrator for DeltaSigma ADCsKyehyung Lee, Jeongseok Chae, Gabor C. Temes. 1440-1443 [doi]
- Digital jitter-cancellation for narrowband signalsRobert Rutten, Lucien J. Breems, Robert H. M. van Veldhoven. 1444-1447 [doi]
- Active self supplied AC-DC converter for piezoelectric energy scavenging systems with supply independent biasEnrico Dallago, Daniele Miatton, Giuseppe Venchi, Valeria Bottarel, Giovanni Frattini, Giulio Ricotti, Monica Schipani. 1448-1451 [doi]
- Low power and robust 7T dual-Vt SRAM circuitSherif A. Tawfik, Volkan Kursun. 1452-1455 [doi]
- 60µW SMR BAW oscillator designed in 65nm CMOS technologySiegfried Dossou, Nicolas Abelé, Etienne César, Pascal Ancey, Jean-François Carpentier, Pierre Vincent, Jean-Michel Fournier. 1456-1459 [doi]
- A low-area, low-power programmable frequency multiplier for DLL based clock synthesizersMd. Ibrahim Faisal, Magdy A. Bayoumi. 1460-1463 [doi]
- High-performance low-power AND and Sense-Amp address decoders with selective prechargingMichael A. Turi, José G. Delgado-Frias. 1464-1467 [doi]
- Optimization techniques of AAC decoder on PACDSP VLIW processorChun-Nan Liu, Jui Hong Hung, Tsung-Han Tsai. 1468-1471 [doi]
- Complexity-effective auditory compensation for digital hearing aidsYu-Ting Kuo, Tay-Jyi Lin, Wei-Han Chang, Yueh-Tai Li, Chih-Wei Liu, Shuenn-Tsong Young. 1472-1475 [doi]
- Parallelism to reduce power consumption on FPGA spatiotemporal image processingWalid Atabany, Patrick Degenaar. 1476-1479 [doi]
- On the feasibility of hardware implementation of sub-Nyquist random-sampling based analog-to-information conversionStephen Pfetsch, Tamer Ragheb, Jason N. Laska, Hamid Nejati, Anna C. Gilbert, Martin Strauss, Richard G. Baraniuk, Yehia Massoud. 1480-1483 [doi]
- Efficient residue arithmetic based parallel fixed coefficient FIR filtersRichard Conway. 1484-1487 [doi]
- Delta discrete-time operator based realization procedure for low sensitivity sampled-data and digital ladder filtersI-Hung Khoo, Hari C. Reddy, George S. Moschytz. 1488-1491 [doi]
- Design of highly linear multipliers using floating gate transistors and/or source degeneration resistorSri Raga Sudha Garimella, Jaime Ramírez-Angulo, Antonio J. López-Martín, Ramón González Carvajal. 1492-1495 [doi]
- On-chip RC measurement and calibration circuit using Wheatstone bridgeBas M. Putter. 1496-1499 [doi]
- Direct-form SC filters with low frequency response sensitivity to the transfer function coefficientsAntonio Petraglia, Frederico C. Pontes, Fernando Antonio Pinto Baruqui. 1500-1503 [doi]
- A fast compact CMOS feedforward automatic gain control circuitJuan Pablo Alegre, Belén Calvo, Santiago Celma. 1504-1507 [doi]
- Impulse based scheme for crystal-less ULP radiosFabio Sebastiano, Salvatore Drago, Lucien Breems, Domine Leenaerts, Kofi A. A. Makinwa, Bram Nauta. 1508-1511 [doi]
- Efficient spacing scheme for a linearly interpolated lookup table predistorterSeydou-Nourou Ba, Khurram Waheed, Guo Tong Zhou. 1512-1515 [doi]
- A tool for the fast distortion evaluation of non linear amplifiers in broadband transmission systemsFrancesco Renna, Stefano Marsili. 1516-1519 [doi]
- Efficient coarse frequency synchronizer using serial correlator for DVB-S2Jang Woong Park, Hyoung Jin Yun, Myung Hoon Sunwoo, Pansoo Kim, Dae-Ig Chang. 1520-1523 [doi]
- Design and implementation of a fully reconfigurable chipless RFID tag using Inkjet printing technologyLinlin Zheng, Saul Rodriguez, Lu Zhang 0011, Botao Shao, Li-Rong Zheng. 1524-1527 [doi]
- Predictive control algorithm for phase-locked loopsAngel Abusleme, Boris Murmann. 1528-1531 [doi]
- A phase-frequency detector and a charge pump design for PLL applicationsSinisa Milicevic, Leonard MacEachern. 1532-1535 [doi]
- An alias-locked loop frequency synthesis architectureLeendert van den Berg, Duncan G. Elliott. 1536-1539 [doi]
- Modeling and simulation of PLL-based frequency-synthesizers for FMCW radarMarkus Pichler, Andreas Stelzer, Claus Seisenberger. 1540-1543 [doi]
- Phase jitter dynamics of first-order digital phase-locked loops with frequency-modulated inputStefan Tertinek, Alexey Teplinsky, Orla Feely. 1544-1547 [doi]
- A CMOS image sensor with spiking pixels for retinal stimulationYan Huang, Emmanuel M. Drakakis, Christofer Toumazou, Patrick Degenaar. 1548-1551 [doi]
- A programmable ENG amplifier with passive EMG neutralization for FES applicationsAndreas Demosthenous, Dai Jiang, Ioannis Pachnis, Xiao Liu, Mohamad Rahal, Nick Donaldson. 1552-1555 [doi]
- A wideband PWM-FSK receiver for wireless implantable neural recording applicationsMing Yin, Maysam Ghovanloo. 1556-1559 [doi]
- Low-power IC design for a wireless BCI systemMing Liu, Hong Chen, Run Chen, Zhihua Wang. 1560-1563 [doi]
- Adjusting the neurons models in neuromimetic ICs using the voltage-clamp techniqueSylvain Saïghi, Laure Buhry, Yannick Bornat, Gilles N Kaoua, Jean Tomas, Sylvie Renaud. 1564-1567 [doi]
- Innovative power gating for leakage reductionMasud H. Chowdhury, Juliana Gjanci, Pervez Khaled. 1568-1571 [doi]
- Analysis and performance evaluation of area-efficient true random bit generators on FPGAsMassimo Alioto, Luca Fondelli, Santina Rocchi. 1572-1575 [doi]
- Utilizing synthesis to verify Boolean function modelsAzam Beg, P. W. Chandana Prasad, Walid Ibrahim, Emad Abu Shama. 1576-1579 [doi]
- Multi-clock pipeline structure for 802.11 a WLAN transceiverMaryam Mizani, Daler N. Rakhmatov. 1580-1583 [doi]
- Compact designs of mixcolumns and subbytes using a novel common subexpression elimination algorithmNing Chen, Zhiyuan Yan. 1584-1587 [doi]
- Implementation of a CNN-based perceptual framework on a roving robotPaolo Arena, Sebastiano De Fiore, Luigi Fortuna, Davide Lombardo, Luca Patané. 1588-1591 [doi]
- ASPA: Focal Plane digital processor array with asynchronous processing capabilitiesAlexey Lopich, Piotr Dudek. 1592-1595 [doi]
- Pixel parallel vessel tree extraction for a personal authentication systemCarmen Alonso-Montes, Marcos Ortega, Manuel G. Penedo, David López Vilariño. 1596-1599 [doi]
- Focal-plane moving object segmentation for realtime video surveillanceDavid López Vilariño, Piotr Dudek, Diego Cabello. 1600-1603 [doi]
- Centroiding and classification of objects using a processor array with a scalable region of interestMika Laiho, Jonne Poikonen, Ari Paasio, Kari Halonen. 1604-1607 [doi]
- Super resolution of video using key framesFernanda Brandi, Ricardo L. de Queiroz, Debargha Mukherjee. 1608-1611 [doi]
- A rate and distortion analysis for H.264/AVC video codingZhenzhong Chen. 1612-1615 [doi]
- Complexity modeling of H.264/AVC CAVLC/UVLC entropy decodersSzu-Wei Lee, C. C. Jay Kuo. 1616-1619 [doi]
- L-shaped segmentations in motion-compensated prediction of H.264Sijia Chen, Qichao Sun, Xiaoyang Wu, Lu Yu. 1620-1623 [doi]
- Adaptive downsampling/upsampling for better video compression at low bit rateViet Anh Nguyen, Yap-Peng Tan, Weisi Lin. 1624-1627 [doi]
- A hybrid CMOS APS pixel for wide-dynamic range imaging applicationsSuat U. Ay. 1628-1631 [doi]
- A novel DPS integrator for fast CMOS imagersJosep Maria Margarit, Justo Sabadell, Lluís Terés, Francisco Serra-Graells. 1632-1635 [doi]
- Predictive coding on-sensor compressionWalter D. Leon-Salas, Sina Balkir, Nathan Schemm, Michael W. Hoffman, Khalid Sayood. 1636-1639 [doi]
- An analog self-similitude edge-filtering processor for multiple-resolution image perceptionNorihiro Takahashi, Kazuhide Fujita, Tadashi Shibata. 1640-1643 [doi]
- A 64×64 pixel temporal contrast microbolometer infrared sensorDaniel Matolin, Christoph Posch, Rainer Wohlgenannt, Thomas Maier. 1644-1647 [doi]
- A/D conversion using an Asynchronous Delta-Sigma Modulator and a time-to-digital converterJorg Daniels, Wim Dehaene, Michiel Steyaert, Andreas Wiesbauer. 1648-1651 [doi]
- A subsampling bandpass SigmaDelta modulator with lumped and distributed resonatorsLuis Hernandez, Enrique Prefasi. 1652-1655 [doi]
- Band-pass SigmaDelta architectures with single and two parallel pathsHervé Caracciolo, Ivano Galdi, Edoardo Bonizzoni, Franco Maloberti. 1656-1659 [doi]
- An ultra low-voltage multibit delta-sigma modulator for audio-band applicationChien-Hung Kuo, Huai-Juan Xie. 1660-1663 [doi]
- Digitally-enhanced 2nd-order DeltaSigma modulator with unity-gain signal transfer functionAnas A. Hamoui, Mohammad Sukhon, Franco Maloberti. 1664-1667 [doi]
- A low power, process invariant keeper for high speed dynamic logic circuitsRakesh Gnana David Jeyasingh, Navakanta Bhat. 1668-1671 [doi]
- A low power 90-nm CMOS motion estimation processor implementing dynamic voltage and frequency scaling (DVFS) and fast motion estimation algorithmNobuaki Kobayashi, Tadayoshi Enomoto. 1672-1675 [doi]
- A novel hardware acceleration scheme for java method callsTero Säntti, Joonas Tyystjärvi, Juha Plosila. 1676-1679 [doi]
- Address compression for scalable load/store queue implementationYi-Ying Tsai, Chia-Jung Hsu, Chung-Ho Chen. 1680-1683 [doi]
- Fault tolerant bit parallel finite field multipliers using LDPC codesJimson Mathew, Jawar Singh, Abusaleh M. Jabir, Mohammad Hosseinabady, Dhiraj K. Pradhan. 1684-1687 [doi]
- Fast and memory efficient VLSI architecture for output probability computations of HMM-based recognition systemsKazuhiro Nakamura, Masatoshi Yamamoto, Kazuyoshi Takagi, Naofumi Takagi. 1688-1691 [doi]
- Pulse width and position modulation for fully digital audio amplifierAkihiko Yoneya. 1692-1695 [doi]
- Direction of arrival estimation for speech sources using fourth order cross cumulantsMikael Swarding, Benny Sallberg, Nedelko Grbic. 1696-1699 [doi]
- Speech enhancement based on adaptive wavelet denoising on multitaper spectrumTai-Chiu Hsung, Daniel Pak-Kong Lun. 1700-1703 [doi]
- A spectro-temporal algorithm for pitch frequency estimation from noisy observationsCelia Shahnaz, Wei-Ping Zhu, M. Omair Ahmad. 1704-1707 [doi]
- An adjustable CMOS floating resistorLi Wang, Robert W. Newcomb. 1708-1711 [doi]
- Comparison of programmable linear resistors based on quasi-floating gate MOSFETsAntonio B. Torralba, Juan Antonio Gómez Galán, Clara Isabel Lujan-Martinez, Ramón González Carvajal, Jaime Ramírez-Angulo, Antonio J. López-Martín. 1712-1715 [doi]
- The flipped voltage follower -based low voltage fully differential CMOS sample-and-hold circuitChristian Jesús B. Fayomi, Gilson I. Wirth, Jaime Ramírez-Angulo, Akira Matsuzawa. 1716-1719 [doi]
- A simple modeling of the early voltage of MOSFETs in weak and moderate inversionRafael L. Radin, Gustavo L. Moreira, Carlos Galup-Montoro, Márcio C. Schneider. 1720-1723 [doi]
- The low-power and low-area PWM by light intensity for photoflash in 0.35-µm CMOSWookwan Lee, Won Ho Choi, Young-Jae Min, Hoon Ki Kim, Soo-Won Kim. 1724-1727 [doi]
- A 2.4-GHz fractional-N PLL with a PFD/CP linearization and an improved CP circuitChing-Lung Ti, Yao-Hong Liu, Tsung-Hsien Lin. 1728-1731 [doi]
- A 6.8GHz low-power and low-phase-noise phase-locked loop designZhongtao Fu, John Lee, Alyssa B. Apsel. 1732-1735 [doi]
- A high-speed variable phase accumulator for an ADPLL architectureLiangge Xu, Saska Lindfors. 1736-1739 [doi]
- Inter-signal timing skew compensation of parallel links with voltage-mode incremental signalingAn Hu, Fei Yuan. 1740-1743 [doi]
- The effect of noise propagation on phase noise in ring oscillatorsSohrab Samadian, Michael M. Green. 1744-1747 [doi]
- Wireless neural signal acquisition with single low-power integrated circuitReid R. Harrison, Ryan J. Kier, Bradley Greger, Florian Solzbacher, Cynthia A. Chestek, Vikash Gilja, Paul Nuyujukian, Stephen I. Ryu, Krishna V. Shenoy. 1748-1751 [doi]
- HermesC: RF wireless low-power neural recording system for freely behaving primatesCynthia A. Chestek, Vikash Gilja, Paul Nuyujukian, Stephen I. Ryu, Krishna V. Shenoy, Ryan J. Kier. 1752-1755 [doi]
- A clockless ultra low-noise low-power wireless implantable neural recording systemMing Yin, Maysam Ghovanloo. 1756-1759 [doi]
- A 4-channel wearable wireless neural recording systemMoo Sung Chae, Kuanfu Chen, Wentai Liu, Jungsuk Kim, Mohanasankar Sivaprakasam. 1760-1763 [doi]
- Dual band LNA/mixer using conjugate matching for implantable biotelemetryWei-Chen Huang, Chen-Ming Hsu, Chien-Ming Lee, Hong-Yi Huang, Ching-Hsing Luo. 1764-1767 [doi]
- Self-tuned regenerative amplification and the hopf bifurcationJonathan Tapson, Tara Julia Hamilton, Craig T. Jin, André van Schaik. 1768-1771 [doi]
- A 2-D silicon cochlea with an improved automatic quality factor control-loopTara Julia Hamilton, Craig T. Jin, André van Schaik, Jonathan Tapson. 1772-1775 [doi]
- Compact calibration circuit for large neuromorphic arraysJuan Antonio Leñero-Bardallo, Teresa Serrano-Gotarredona, Bernabé Linares-Barranco. 1776-1779 [doi]
- Noise model, analysis and characterization of a differential active pixel sensorDavid Sander, Nicole M. Nelson, Pamela Abshire. 1780-1783 [doi]
- Integrated circuit implementation of a cortical neuronJayawan H. B. Wijekoon, Piotr Dudek. 1784-1787 [doi]
- Modeling dynamic stability of SRAMS in the presence of single event upsets (SEUs)Rajesh Garg, Peng Li, Sunil P. Khatri. 1788-1791 [doi]
- Glitch-aware output switching activity from word-level statisticsJonathan A. Clarke, George A. Constantinides, Peter Y. K. Cheung, Alastair M. Smith. 1792-1795 [doi]
- Arithmetic module generator with algorithm optimization capabilityYuki Watanabe, Naofumi Homma, Takafumi Aoki, Tatsuo Higuchi. 1796-1799 [doi]
- ILP-based optimization of time-multiplexed I/O assignment for multi-FPGA systemsMasato Inagi, Yasuhiro Takashima, Yuichi Nakamura, Atsushi Takahashi. 1800-1803 [doi]
- Timing-driven X-architecture router among rectangular obstaclesHsin-Hsiung Huang, Shu-Ping Chang, Yu-Cheng Lin, Tsai-Ming Hsieh. 1804-1807 [doi]
- An integrated patch-clamp amplifier for high-throughput planar patch-clamp systemsPujitha Weerakoon, Eugenio Culurciello, Kate Klemic, Fred J. Sigworth. 1808-1811 [doi]
- MEMS acoustic sensors for totally implantable hearing aid systemsWen H. Ko, Jun Guo, Xuesong Ye, Zue Zhang, Darrin J. Young, Cliff A. Megerian. 1812-1817 [doi]
- System-on-chip ultrasonic transducer for dental tissue formation and stem cell growth and differentiationWoon Tiong Ang, Changhong Yif, Jie Chen, Tarek El-Bialy, Michael Doschak, Hasan Uludag, Ying Tsui. 1818-1821 [doi]
- Ultra-high ratio dilution microfluidic system for single strand DNA isolationJennifer Blain Christen, Brian Iglehart, Philippe Pouliquen. 1822-1825 [doi]
- Computer aided simulation and verification of forward error-correcting biosensorsYang Liu, Shantanu Chakrabartty. 1826-1829 [doi]
- A multiple description image/video coding method by compressed sensing theoryYifu Zhang, Shunliang Mei, Quqing Chen, Zhibo Chen. 1830-1833 [doi]
- Effective congestion and error control for scalable video coding extension of the H.264/AVCJing-Xin Wang, Alvin Wen-Yu Su, Yi-Chen Chen, Jenq-Neng Hwang. 1834-1837 [doi]
- Optimal rate allocation for scalable video multicast over WiMAXHsin-Yu Chi, Chia-Wen Lin, Yung-Chang Chen, Chih-Ming Chen. 1838-1841 [doi]
- Software implementation of Chien search process for strong BCH codesJunho Cho, Wonyong Sung. 1842-1845 [doi]
- Structured LDPCcodes with low error floor based on PEG tanner graphsYi-Kai Lin, Chin-Lung Chen, Yen-Chin Liao, Hsie-Chia Chang. 1846-1849 [doi]
- Current-mode image sensor with 1.5 transistors per pixel and improved dynamic rangeZheng Yang, Viktor Gruev, Jan Van der Spiegel. 1850-1853 [doi]
- Back-illuminated ultraviolet image sensor in silicon-on-sapphireJoon Hyuk Park, Eugenio Culurciello. 1854-1857 [doi]
- CMOS image sensor readout employing in-pixel transistor current sensingZeljko Ignjatovic, Yang Zhang, Mark F. Bocko. 1858-1861 [doi]
- Image sensor with focal plane change event driven video compressionYu M. Chi, Ralph Etienne-Cummings, Gert Cauwenberghs. 1862-1865 [doi]
- A low-power CMOS front end for particle detection applicationsNathan Schemm, Sina Balkir, Michael W. Hoffman. 1866-1869 [doi]
- A simple technique to reduce clock jitter effects in continuous-time delta-sigma modulatorsHairong Chang, Hua Tang. 1870-1873 [doi]
- Analysis of digital gain error compensation in continuous-time cascaded sigma-delta modulatorsMatthias Keller, Alexander Buhmann, Maurits Ortmanns, Yiannos Manoli. 1874-1877 [doi]
- Continuous-time feed-forward SigmaDelta- modulators with robust signal transfer functionMohammad Ranjbar, Arash Mehrabi, Omid Oliaei. 1878-1881 [doi]
- On low power design of feedforward continuous-time sigma delta modulators with excess loop delayXiaolong Yuan, Nianxiong Tan, Svante Signell. 1882-1885 [doi]
- Fixed-step simulation of Continuous-Time SigmaDelta modulatorsPhilippe Bénabès, Ali Beydoun. 1886-1889 [doi]
- Design of error-tolerant cache memory for multithreaded computingShuo Wang, Lei Wang. 1890-1893 [doi]
- Dynamic wordline voltage swing for low leakage and stable static memory banksSherif A. Tawfik, Volkan Kursun. 1894-1897 [doi]
- Spin-transfer torque magnetoresistive content addressable memory (CAM) cell structure design with enhanced search noise marginWei Xu, Tong Zhang, Yiran Chen. 1898-1901 [doi]
- PVT-invariant single-to-differential data converter with minimum skew and duty-ratio distortionYoun-Sik Park, Sung-Wook Lee, Bai-Sun Kong, Kwang-Il Park, Jeong-Don Ihm, Joo-Sun Choi, Young-Hyun Jun. 1902-1905 [doi]
- A novel 4T asymmetric single-ended SRAM cell in sub-32 nm double gate technologyBastien Giraud, Amara Amara. 1906-1909 [doi]
- An efficient finite precision realization of the block adaptive decision feedback equalizerRafiahamed Shaik, Mrityunjoy Chakraborty, Santanu Chattopadhyay. 1910-1913 [doi]
- Bit-level optimized FIR filter architectures for high-speed decimation applicationsAnton Blad, Oscar Gustafsson. 1914-1917 [doi]
- Prediction of protein-coding regions in DNA sequences using a model-based approachRajasekhar Kakumani, Vijay Devabhaktuni, M. Omair Ahmad. 1918-1921 [doi]
- An area-efficient sampling rate converter using negative feedback techniqueMasanori Furuta, Takafumi Yamaji, Takeshi Ueno, Tetsuro Itakura. 1922-1925 [doi]
- Thermo-visual video fusion using probabilistic graphical model for human trackingSiyue Chen, Wenjie Zhu, Henry Leung. 1926-1929 [doi]
- A programmable dual hysteretic window comparatorHong-Wei Huang, Chia-Hsiang Lin, Ke-Horng Chen. 1930-1933 [doi]
- A simple and accurate method to predict offset voltage in dynamic comparatorsJun He, Sanyi Zhan, Degang Chen, Randall L. Geiger. 1934-1937 [doi]
- Adjustable hysteresis CMOS Schmitt triggersVipul Katyal, Randall L. Geiger, Degang Chen. 1938-1941 [doi]
- Low-current consumption CMOS comparator using charge-storage amplifier for A/D convertersJun Tomisawa, Kazuyasu Nishikawa, Satoshi Yamakawa. 1942-1945 [doi]
- Low-power static and dynamic high-voltage CMOS level-shifter circuitsMaziyar Khorasani, Leendert van den Berg, Philip Marshall, Meysam Zargham, Vincent C. Gaudet, Duncan G. Elliott, Stephane Martel. 1946-1949 [doi]
- 700MHz RF transceiver of base station for 802.16eJun-Wen Zhang, Chang-Tao Wang. 1950-1953 [doi]
- A 200Mbps 0.02nJ/b dual-mode inductive coupling transceiver for cm-range interconnectionSeulki Lee, Jerald Yoo, Hoi-Jun Yoo. 1954-1957 [doi]
- 0.18um CMOS integrated chipset for 5.8GHz DSRC systems with +10dBm output powerSangho Shin, Seokoh Yun, Sanghyun Cho, Jongmoon Kim, Minseok Kang, Wonkap Oh, Sung-Mo Kang. 1958-1961 [doi]
- A charge pump-based direct frequency modulatorPing-Ying Wang, Hsiu-Ming Chang. 1962-1965 [doi]
- Design space exploration of low-phase-noise LC-VCO using multiple-divide techniqueShoichi Hara, Takeshi Ito, Kenichi Okada, Akira Matsuzawa. 1966-1969 [doi]
- An implantable I-UWB transceiver architecture with power carrier synchronizationCameron T. Charles. 1970-1973 [doi]
- A low-power silicon-on-sapphire tunable ultra-wideband transmitterWei Tang, Andreas G. Andreou, Eugenio Culurciello. 1974-1977 [doi]
- A reconfigurable IC for wireless monitoring of chemical or electrical neural activityMasoud Roham, Pedram Mohseni. 1978-1981 [doi]
- Tracking tongue movements for environment control using particle swarm optimizationJia Wang, Xueliang Huo, Maysam Ghovanloo. 1982-1985 [doi]
- A brain-machine interface using dry-contact, low-noise EEG sensorsThomas J. Sullivan, Stephen R. Deiss, Tzyy-Ping Jung, Gert Cauwenberghs. 1986-1989 [doi]
- Chemical and biological sensors for environmental monitoringDenise Wilson, Leila Shepherd. 1990-1993 [doi]
- A mobile environmental sensing system to manage transportation and urban air qualityRobin North, Mark Richards, Jeremy Cohen, Neil Hoose, John Hassard, John Polak. 1994-1997 [doi]
- Genetically-engineered whole-cell bioreporters on integrated circuits for environmental monitoringNora D. Bull, Syed K. Islam, Benjamin J. Blalock, S. Ripp, S. Moser, G. S. Sayler. 1998-2001 [doi]
- Baseline resistance cancellation circuit for high resolution thiolate-monolayer-protected gold nanoparticle vapor sensor arraysDaniel Rairigh, Andrew Mason, Michael P. Rowe, Edward T. Zellers. 2002-2005 [doi]
- A multiplexed biosensor based on biomolecular nanowiresYang Liu, Shantanu Chakrabartty, Evangelyn C. Alocilja. 2006-2009 [doi]
- Cost-effective and low-power memory address bus encodingsCharbel J. Akl, Magdy A. Bayoumi. 2010-2013 [doi]
- Logic synthesis method for FPGAs with embedded memory blocksMariusz Rawski, Tadeusz Luba, Bogdan J. Falkowski. 2014-2017 [doi]
- Concurrent skew and control step assignments in RT-level datapath synthesisTakayuki Obata, Mineo Kaneko. 2018-2021 [doi]
- Area oriented pass-transistor logic synthesis using buffer elimination and layout compactionShen-Fu Hsiao, Ming-Yu Tsai, Chia-Sheng Wen. 2022-2025 [doi]
- Co-evolutionary reliability-oriented high-level synthesisSaeed Safari. 2026-2029 [doi]
- Seizure detection on prolonged-EEG videosYu-Ting Shen, Pau-Choo Chung, Monnique Thonnet, Patrick Chauvel. 2030-2033 [doi]
- A variable control system for wireless body sensor networkShih-Lun Chen, Ho-Yin Lee, Yu-Wen Chu, Chiung-An Chen, Chin-Chun Lin, Ching-Hsing Luo. 2034-2037 [doi]
- Analog CMOS charge model for molecular redox electron-transfer reactions and bio-chemical pathwaysS. M. Rezaul Hasan, Nazmul Ula. 2038-2041 [doi]
- Data scaling in remote health monitoring systemsYa-Ti Peng, Daby M. Sow. 2042-2045 [doi]
- State estimation for a model of gene expressionGabriele Lillacci, Paolo Valigi. 2046-2049 [doi]
- A multi-hypothesis decoder for multiple description video codingMengyao Ma, Oscar C. Au, Liwei Guo, Xiaopeng Fan, Ling Hou, Shueng-Han Gary Chan. 2050-2053 [doi]
- Probabilistic prefetching scheme for P2P VoD applications with frequent seeksYifeng He, Guobin Shen, Yongqiang Xiong, Ling Guan. 2054-2057 [doi]
- An optimized link adaptation scheme for efficient delivery of scalable H.264 Video over IEEE 802.11nYaser Pourmohammadi Fallah, Hassan Mansour, Salman Khan, Panos Nasiopoulos, Hussein M. Alnuweiri. 2058-2061 [doi]
- Robust video multicast with joint network coding and AL-FECHui Wang, C. C. Jay Kuo. 2062-2065 [doi]
- A hierarchical push-pull scheme for peer-to-peer live streamingVictor Gau, Yi-Hsien Wang, Jenq-Neng Hwang. 2066-2069 [doi]
- A cluster-based computing infrastructure for wide-area multi-modal surveillance networksJens Hannemann, Kevin D. Donohue, Hank Dietz. 2070-2073 [doi]
- A sensor placement algorithm for redundant covering based on Riesz energy minimizationChai Wah Wu, Dinesh Verma. 2074-2077 [doi]
- An adaptive ISFET chemical imager chipPantelis Georgiou, Chris Toumazou. 2078-2081 [doi]
- A 0.35µm 1.25V piezo-resistance digital ROIC for liquid dispensing MEMSRoger Dura, Fabrice Mathieu, Liviu Nicu, Francesc Pérez-Murano, Francisco Serra-Graells. 2082-2085 [doi]
- MEMS automotive collision avoidence radar beamformerAhmad Sinjari, Sazzadur Chowdhury. 2086-2089 [doi]
- Design of reliable interface system for eddy current displacement sensors in vacuum environments1Mohammad Reza Nabavi, Stoyan Nihtianov. 2090-2093 [doi]
- A low noise CMOS preamplifier for femtoampere current detectionMing Zhang, Nicolas Llaser, Hervé Mathias. 2094-2097 [doi]
- A low power CMOS sigma-delta readout circuit for heterogeneously integrated chemoresistive micro-/nano- sensor arraysJohn Oliver, Mark Lehne, Krishna Vummidi, Amy Bell, Sanjay Raman. 2098-2101 [doi]
- Low voltage, low power, compact, high accuracy, high precision PTAT temperature sensor for deep sub-micron CMOS systemsChristian Falconi, Marco Fratini, Arnaldo D Amico, Giuseppe Scotti, Alessandro Trifiletti. 2102-2105 [doi]
- A piezoelectric actuator driver circuit for automatic focusing of mobile phone camerasSangduk Yu, Kichang Jang, Sanghyun Cha, Yeonjung Lee, Ohjo Kwon, Kyougsoo Kwon, Joongho Choi. 2106-2109 [doi]
- Binaural spectral cues for ultrasonic localizationHisham Abdalla, Timothy K. Horiuchi. 2110-2113 [doi]
- Optimum camera placement considering camera specification for security monitoringKenichi Yabuta, Hitoshi Kitazawa. 2114-2117 [doi]
- Position, damping and inertia control of parallel-plate electrostatic actuatorsDaniel Fernández, Jordi Madrenas, Jordi Cosp. 2118-2121 [doi]
- A wireless sensing platform for battery-free sensorsMichel Nowak, Éric Colinet, Nicolas Delorme, François Conseil, Gilles Jacquemod. 2122-2125 [doi]
- A Time Domain differential CMOS Temperature Sensor with Reduced Supply SensitivityMan Kay Law, Amine Bermak. 2126-2129 [doi]
- An asynchronous time-based image sensorChristoph Posch, Daniel Matolin, Rainer Wohlgenannt. 2130-2133 [doi]
- A CMOS image sensor with focal plane SPIHT image compressionZhiqiang Lin, Michael W. Hoffman, Walter D. Leon, Nathan Schemm, Sina Balkir. 2134-2137 [doi]
- Autonomous CMOS image sensor for real time target detection and trackingAdam Teman, Sagi Fisher, Liby Sudakov, Alexander Fish, Orly Yadid-Pecht. 2138-2141 [doi]
- Low power linear current mode imager with 1.5 transistors per pixelViktor Gruev, Zheng Yang, Jan Van der Spiegel. 2142-2145 [doi]
- Two color asynchronous event photo pixelJenny Anna Maria Olsson, Philipp Hafliger. 2146-2149 [doi]
- High-speed character recognition system based on a complex hierarchical AER architectureJose Antonio Perez-Carrasco, Teresa Serrano-Gotarredona, Carmen Serrano-Gotarredona, Begoña Acha, Bernabé Linares-Barranco. 2150-2153 [doi]
- Temporally learning floating-gate VLSI synapsesShih-Chii Liu, Rico Moeckel. 2154-2157 [doi]
- A novel CMOS current mode fully differential tanh (x) implementationManuel Carrasco-Robles, Luis Serrano. 2158-2161 [doi]
- Implementing a neuromorphic cross-correlation engine with silicon neuronsFopefolu O. Folowosele, Francesco Tenore, Alexander Russell, Garrick Orchard, Mark P. Vismer, Jonathan Tapson, Ralph Etienne-Cummings. 2162-2165 [doi]
- Stability analysis for impulsive neural networks with variable delaysWu-Hua Chen, Wei Xing Zheng. 2166-2169 [doi]
- An averaging method for a committee of special-orthogonal-group machinesSimone Fiori, Toshihisa Tanaka. 2170-2173 [doi]
- CMOS pulse-modulation circuit implementation of phase-locked loop neural networksDaisuke Atuti, Kazuki Nakada, Takashi Morie. 2174-2177 [doi]
- An E-nose haar wavelet preprocessing circuit for spiking neural network classificationJacob N. Allen, Safa B. Hasan, Hoda S. Abdel-Aty-Zohdy, Robert L. Ewing. 2178-2181 [doi]
- Adaptive delay compensation in multi-dithering adaptive controlDimitrios N. Loizos, Paul-Peter Sotiriadis, Gert Cauwenberghs. 2182-2185 [doi]
- Delta-sigma modulated class D ZCS series resonant inverter with an inductive loadHirotaka Koizumi. 2186-2189 [doi]
- Design of class DE amplifier with nonlinear shunt capacitances for any output QToru Ezawa, Hiroo Sekiya, Takashi Yahagi. 2190-2193 [doi]
- A novel current controlled tri-state boost converter with superior dynamic performanceSantanu Kapat, Amit Patra, Soumitro Banerjee. 2194-2197 [doi]
- Low-OSR asynchronous Sigma-Delta modulation high-order buck converter for efficient wideband switching amplificationAlbert Garcia-Tormo, Eduard Alarcón, Alberto Poveda, Francesc Guinjoan. 2198-2201 [doi]
- LED drivers with PPD compensation for achieving fast transient responseChun-Yu Hsieh, Shih-Jung Wang, Yu-Huei Lee, Ke-Horng Chen. 2202-2205 [doi]
- A quasi fixed frequency constant on time controlled boost converterXiaoru Xu, Xiaobo Wu, Xiaolang Yan. 2206-2209 [doi]
- An asynchronous finite state machine controller for integrated buck-boost power converters in wideband signal-tracking applicationsDaniel Fernández, Jordi Madrenas, Eduard Alarcón. 2210-2213 [doi]
- Zero current detection technique for fast transient response in buck DC-DC convertersChi-Lin Chen, Wei-Jen Lai, Ter-Hsing Liu, Ke-Horng Chen. 2214-2217 [doi]
- Integrated single-inductor dual-input dual-output boost converter for energy harvesting applicationsNgok-Man Sze, Feng Su, Yat-Hei Lam, Wing-Hung Ki, Chi-Ying Tsui. 2218-2221 [doi]
- Review of digital control laws for high-frequency point-of-load convertersAdan Simon-Muela, Y. El Basri, Corinne Alonso, Jean Louis Chaptal. 2222-2225 [doi]
- An offset compensation technique for bandgap voltage reference in CMOS technologyStefano Ruzza, Enrico Dallago, Giuseppe Venchi, Sergio Morini. 2226-2229 [doi]
- Temperature performance of sub-1V ultra-low power current sourcesEdgar Mauricio Camacho-Galeano, Juliano Q. Moreira, Maicon D. Pereira, Adilson J. Cardoso, Carlos Galup-Montoro, Márcio C. Schneider. 2230-2233 [doi]
- A 1V power supply operation CMOS subbandgap reference using switched capacitorsHung-Wei Chen, Jing-Yu Luo, Wen-Cheng Yen. 2234-2237 [doi]
- A current limiter for LDO regulators with internal compensation for process and temperature variationsJader A. De Lima, Wallace A. Pimenta. 2238-2241 [doi]
- A high precision, output-capacitor-free low-dropout regulator for system-on-chip designWing Yan Leung, Tsz Yin Man, Wan Tim Chan, Mansun Chan. 2242-2245 [doi]
- A parallel sampling scheme for ultra-wideband signal based on the random projectionGuangming Shi, Zhe Liu, X. Y. Chen, L. J. Wang. 2246-2249 [doi]
- A novel flash analog-to-digital converterChia-Nan Yeh, Yen-Tai Lai. 2250-2253 [doi]
- An M-2M digital-to-analog converter design methodology based on a physical mismatch modelHamilton Klimach, Márcio C. Schneider, Carlos Galup-Montoro. 2254-2257 [doi]
- Low-power 6-bit 1-GS/s two-channel pipeline ADC with open-loop amplification using amplifiers with local-feedbackAcacio Galhardo, João Goes, Nuno F. Paulino. 2258-2261 [doi]
- Design of a 6 bit 1.25 GS/s DAC for WPANJae-Jin Jung, Kwang-Hyun Baek, Shin-Il Lim, Suki Kim, Sung-Mo Kang. 2262-2265 [doi]
- A CMOS 2.0-11.2 GHz UWB LNA using active inductor circuitMd. Mahbub Reja, Igor M. Filanovsky, Kambiz K. Moez. 2266-2269 [doi]
- A novel topology in reversed nested miller compensation using dual-active capacitanceMajid Jalalifar, Mohammad Yavari, Farshid Raissi. 2270-2273 [doi]
- A low-voltage low-power fully differential rail-to-rail input/output opamp in 65-nm CMOSWeixun Yan, Robert Kolm, Horst Zimmermann. 2274-2277 [doi]
- Efficient four-stage frequency compensation for low-voltage amplifiersWeixun Yan, Robert Kolm, Horst Zimmermann. 2278-2281 [doi]
- A gain-enhancing technique for very low-voltage amplifiersFrancesco Centurelli, Pietro Monsurrò, Giuseppe Scotti, Alessandro Trifiletti. 2282-2285 [doi]
- Diagnosis of assembly failures for System-in-Package RF tunersErdem Serkan Erdogan, Sule Ozev, Philippe Cauvet. 2286-2289 [doi]
- Low-power short-channel single-ended current-steered CMOS logic-gate for mixed-signal systemsAjay Taparia, Thayamkulangara R. Viswanathan. 2290-2293 [doi]
- Mixed-signal flexible architecture for the synthesis of n-port networksCarmine Gianni, Salvatore Pennisi, Giuseppe Scotti, Alessandro Trifiletti. 2294-2297 [doi]
- A metastability-independent time-to-voltage converterDong An, Gordon W. Roberts. 2298-2301 [doi]
- A process- and temperature-tolerant power-on reset circuit with a flexible detection level higher than the bandgap voltageToru Tanzawa. 2302-2305 [doi]
- An improved method of power control with CMOS class-E power amplifiersTongqiang Gao, Chun Zhang, Baoyong Chi, Zhihua Wang. 2306-2309 [doi]
- Programmable voltage-to-current converter with linear voltage control resistorHong-Wei Huang, Wei-Lun Hsieh, Ke-Horng Chen. 2310-2313 [doi]
- A highly accurate BiCMOS cascode current mirror for wide output voltage rangeByung-Do Yang, Jang-Su Kim, Jin-Kuk Yun, Yong Kyu Lee, Jee-Sue Lee. 2314-2317 [doi]
- A 1.5V, wide-input range, high-bandwidth, CMOS four-quadrant analog multiplierChutham Sawigun, Jirayuth Mahattanakul. 2318-2321 [doi]
- Synchronization of two LC- oscillators using capacitive couplingLuís Bica Oliveira, Igor M. Filanovsky, Ahmed Allam, Jorge R. Fernandes. 2322-2325 [doi]
- Power and area efficient circular-memory switched-capacitor FIR baseband filter for WCDMA/GSMRafal Dlugosz, Kris Iniewski. 2326-2329 [doi]
- 10GBase-LX4 optical fiber receiver in a 0.18µm digital CMOS processJose Maria Garcia del Pozo, Maria Teresa Sanz, Santiago Celma, Aránzazu Otín, Juan Pablo Alegre, Justo Sabadell. 2330-2333 [doi]
- Exponential-enhanced characteristic of MOS transistors and its application to log-domain circuitsDaniel Fernández, Jordi Madrenas, Dominik Kapusta, Piotr Michalik. 2334-2337 [doi]
- Nyquist-rate analog-to-digital converter specification for Zero-IF UMTS receiverZulhakimi Razak, Tughrul Arslan. 2338-2341 [doi]
- Anticipatory access pipeline design for phased cacheChih-wen Hsueh, Jen-Feng Chung, Lan-Da Van, Chin-Teng Lin. 2342-2345 [doi]
- An Analytical model for characteristic impedance in nanostrip plasmonic waveguidesAmir Hosseini, Hamid Nejati, Yehia Massoud. 2346-2349 [doi]
- A novel contribution to the RTD-based threshold logic familyHéctor Pettenghi, Maria J. Avedillo, José M. Quintana. 2350-2353 [doi]
- Integration time optimization for integrating photosensorsDavid Sander, Nicole M. Nelson, Pamela Abshire. 2354-2357 [doi]
- A 0.18-µm CMOS clock and data recovery circuit with reference-less dual loopsMiao Li, Tad Kwasniewski, Shoujun Wang. 2358-2361 [doi]
- Low-power 50 duty cycle correctorHong-Yi Huang, Chia-Ming Liang, Shi-Jia Sun. 2362-2365 [doi]
- An inductively tuned CMOS astable multivibratorAntonio Buonomo. 2366-2369 [doi]
- Implementation of compact VLSI FitzHugh-Nagumo neuronsJordi Cosp, Stéphane Binczak, Jordi Madrenas, Daniel Fernández. 2370-2373 [doi]
- A CMOS realization of double-scroll chaotic circuit and its application to random number generationA. Samil Demirkol, Serdar Özoguz, Vedat Tavas, Selçuk Kilinç. 2374-2377 [doi]
- Digital filtering for power amplifiers linearizationOvidiu Leulescu, Tudor Petrescu. 2378-2381 [doi]
- Long-term jitter reduction through supply noise compensationKeith M. Ring, Shoba Krishnan. 2382-2385 [doi]
- Distortion calculation of an asynchronous switching xDSL line-driverVincent De Gezelle, Jordie Buyle, Jan Doutreloigne. 2386-2389 [doi]
- A novel multiscroll chaotic system and its realizationSimin Yu, Jinhu Lu, Guanrong Chen. 2390-2393 [doi]
- Investigation of state transition phenomena in cross-coupled chaotic circuitsYumiko Uchitani, Yoshifumi Nishio. 2394-2397 [doi]
- Methods to eliminate dynamic errors in high-performance SAR A/D converterFrank Ohnhaeuser, Mario Huemer. 2398-2401 [doi]
- System identification -based reduced-code testing for pipeline ADCs linearity testHanqing Xing, Degang Chen, Randall L. Geiger, Le Jin. 2402-2405 [doi]
- A fully digital ADC using a new delay element with enhanced linearityHooman Farkhani, Mohammad Maymandi-Nejad, Manoj Sachdev. 2406-2409 [doi]
- Jitter error spectrum for NRZ D/A convertersJeffrey Hinrichs, Guoqing Miao. 2410-2413 [doi]
- Hybrid modeling techniques for low OSR cascade continuous-time SigmaDelta modulatorsSubhanshu Gupta, Yi Tang, David J. Allstot, Jeyanandh Paramesh. 2414-2417 [doi]
- Power-supply-variation-aware timing analysis of synchronous systemsSami Kirolos, Yehia Massoud, Yehea I. Ismail. 2418-2421 [doi]
- Equivalent rise time for resonance in power/ground noise estimationEmre Salman, Eby G. Friedman, Radu M. Secareanu, Olin L. Hartin. 2422-2425 [doi]
- Analysis of the impacts of signal rise/fall time and skew variations in coupled-RLC interconnectsAbinash Roy, Masud H. Chowdhury. 2426-2429 [doi]
- Delay macromodeling and estimation for RTLTatsuya Koyagi, Masahiro Fukui, Resve Saleh. 2430-2433 [doi]
- Time diversity approach for intra-chip RF/wireless interconnect systemsM. Sajjad Rahaman, Masud H. Chowdhury. 2434-2437 [doi]
- Complexity reduction for frequency-response masking filters using serial maskingYing Wei, Yong Lian. 2438-2441 [doi]
- Design of frequency-response-masking FIR filters using SOCP with coefficient sensitivity constraintWu-Sheng Lu, Takao Hinamoto. 2442-2445 [doi]
- Subexpression encoded extrapolated impulse response FIR filter with perfect residual compensationYa Jun Yu, Dong Shi, Yong Ching Lim. 2446-2449 [doi]
- Hilbert transformers with a piecewise-polynomial-sinusoidal impulse responseRaija Lehto, Tapio Saramäki, Olli Vainio. 2450-2453 [doi]
- Minimax IIR digital filter design using SOCPAimin Jiang, Hon Keung Kwan. 2454-2457 [doi]
- CMOS ASIC for MHz silicon BAW gyroscopeJalpa Shah, Houri Johari, Ajit Sharma, Farrokh Ayazi. 2458-2461 [doi]
- An integrated design for the front-end of an inductive position sensorMohamad Rahal, Andreas Demosthenous. 2462-2465 [doi]
- The design of a chopped current-feedback instrumentation amplifierRong Wu, Kofi A. A. Makinwa, Johan H. Huijsing. 2466-2469 [doi]
- Dual op amp, LDO regulator with power supply gain suppression for CMOS smart sensors and microsystemsChristian Falconi, Arnaldo D Amico, Giuseppe Scotti, Alessandro Trifiletti. 2470-2473 [doi]
- A micropower front-end interface for differential-capacitive sensor systemsTimothy G. Constandinou, Julius Georgiou, Chris Toumazou. 2474-2477 [doi]
- Frequency offset compensation for OFDM receiver using rotating concyclic antennaDaisuke Mizukami, Kenji Kodera, Hiroshi Yasukawa. 2478-2481 [doi]
- IQ imbalance and phase noise mitigation for wireless OFDM systemsChung-Jin Tsai, Chun-Hao Liao, Tzi-Dar Chiueh. 2482-2485 [doi]
- A complete pipelined MMSE detection architecture in a 4x4 MIMO-OFDM receiverShingo Yoshizawa, Yasushi Yamauchi, Yoshikazu Miyanaga. 2486-2489 [doi]
- Tracking loop for IR-UWB communications in IEEE 802.15 multi-path channelsDaxian Yun, Yanjie Peng, Jun Han, Xiaoyang Zeng. 2490-2493 [doi]
- Real-time FPGA-based implementation of digital instantaneous frequency measurement receiverYu-Heng George Lee, James Helton, Chien-In Henry Chen. 2494-2497 [doi]
- A novel safety system concept and implementation for implantable stimulators: A universal DC tissue leakage current detectorYashodhan Moghe, Torsten Lehmann. 2498-2501 [doi]
- A high-output-impedance current microstimulator for anatomical rewiring of cortical circuitryMeysam Azin, Pedram Mohseni. 2502-2505 [doi]
- A partial-current-steering biphasic stimulation driver for neural prosthesesTimothy G. Constandinou, Julius Georgiou, Chris Toumazou. 2506-2509 [doi]
- Extended counting ADC for 32-channel neural recording headstage for small animalsXiao Yun, Milutin Stanacevic. 2510-2513 [doi]
- A back telemetry-capable active high efficiency rectifier in standard CMOS processGaurav Bawa, Maysam Ghovanloo. 2514-2517 [doi]
- A brief overview of some recent advances in complex dynamical networks control and synchronizationJinhu Lu, Guanrong Chen. 2518-2521 [doi]
- Reducing synchronization cost in weighted dynamical networks using betweenness centrality measuresMahdi Jalili, Ali Ajdari Rad, Martin Hasler. 2522-2525 [doi]
- Vertex-based adaptive synchronization of complex networksPietro De Lellis, Mario di Bernardo, Francesco Garofalo. 2526-2529 [doi]
- Localization of effective pinning control in complex networks of dynamical systemsChai Wah Wu. 2530-2533 [doi]
- The emergence of stable cooperators in heterogeneous networked systemsZhi Hai Rong, Xiang Li. 2534-2537 [doi]
- Phase noise in frequency divider circuitsMelina Apostolidou, Peter G. M. Baltus, Cicero S. Vaucher. 2538-2541 [doi]
- ATLAS: An adaptively formed hierarchical cell library based analog synthesis frameworkAngan Das, Ranga Vemuri. 2542-2545 [doi]
- Post-optimization of Delta-Sigma modulators considering circuit non-idealitiesHua Tang. 2546-2549 [doi]
- A multi-step P-cell for LNA design automationWei Cheng, Anne-Johan Annema, Bram Nauta. 2550-2553 [doi]
- Jitter simulation and measurement of an all-digital clock generator with dynamic frequency counting loopPao-Lung Chen. 2554-2557 [doi]
- Low-voltage circuit design for widespread sensing applicationsYu-Shiang Lin, Scott Hanson, Fabio Albano, Carlos Tokunaga, Razi-Ul Haque, Kensall Wise, Ann Marie Sastry, David Blaauw, Dennis Sylvester. 2558-2561 [doi]
- Ultra-low-power UWB for sensor network applicationsPatrick P. Mercier, Denis C. Daly, Manish Bhardwaj, David D. Wentzloff, Fred S. Lee, Anantha P. Chandrakasan. 2562-2565 [doi]
- System design considerations for sensor network applicationsMark Hempstead, Gu-Yeon Wei, David Brooks. 2566-2569 [doi]
- An energy-adaptive MPPT power management unit for micro-power vibration energy harvestingJun Yi, Feng Su, Yat-Hei Lam, Wing-Hung Ki, Chi-Ying Tsui. 2570-2573 [doi]
- Circuit techniques for ultra-low power subthreshold SRAMsTae-Hyoung Kim, Jason Liu, John Keane, Chris H. Kim. 2574-2577 [doi]
- Architectural analyses of K-Means silicon intellectual property for image segmentationTse-Wei Chen, Chih-Hao Sun, Jun-Ying Bai, Han-Ru Chen, Shao-Yi Chien. 2578-2581 [doi]
- A sparse representation of physical activity video in the study of obesityNing Yao, Robert J. Sclabassi, Qiang Liu, John D. Fernstrom, Madelyn H. Fernstrom, Jie Yang, Mingui Sun. 2582-2585 [doi]
- An efficient embedded compression algorithm using adjusted binary code methodYu-Xuan Lee, Tsung-Han Tsai. 2586-2589 [doi]
- Graph cut video object segmentation using histogram of oriented gradientsChun-Hao Wang, Ling Guan. 2590-2593 [doi]
- A high-quality spatial-temporal content-adaptive deinterlacing algorithmGwo Giun Lee, He-Yuan Lin, Ming-Jiun Wang, Rong-Lai Lai, Chih Wen Jhuo. 2594-2597 [doi]
- High-bandwidth floating gate CMOS rectifiers with reduced voltage dropChristian Peters, Fabian Henrici, Maurits Ortmanns, Yiannos Manoli. 2598-2601 [doi]
- A current mode adaptive on-time control scheme for fast transient DC-DC convertersHung-Chih Lin, Bou-Ching Fung, Tsin-Yuan Chang. 2602-2605 [doi]
- Inductor-current zero-crossing detection mixed-signal CMOS circuit for a DCM-operated 3-level switching power converterGerard Villar, Eduard Alarcón. 2606-2609 [doi]
- An integrated reconfigurable switched-capacitor DC-DC converter with a dual-loop adaptive gain-pulse controlInshad Chowdhury, Dongsheng Ma. 2610-2613 [doi]
- Adaptive step-size digital controller for switching frequency auto-tuningJaber A. Abu-Qahouq, Wisam Al-Hoor, Wasfy Mikhael, Lilly Huang, Issa Batarseh. 2614-2616 [doi]
- Active compensation of supply noise for a 5-GHz VCO in 45-nm CMOS SOI technologyDevesh Nema, Thomas Toifl. 2617-2620 [doi]
- A reconfigurable direct RF receiver architectureGerald L. Fudge, Mark A. Chivers, Sujit Ravindran, Ross E. Bland, Phillip E. Pace. 2621-2624 [doi]
- Fast frequency acquisition all-digital PLL using PVT calibrationHae-Soo Jeon, Duk-Hyun You, In-Cheol Park. 2625-2628 [doi]
- A programmable 25 MHz to 6 GHz rational-K/L frequency synthesizer with digital Kvco compensationJae Y. Kim, Chih-Wei Yao, A. N. Willson. 2629-2632 [doi]
- A buffered charge pump with zero charge sharingCameron T. Charles, David J. Allstot. 2633-2636 [doi]
- Don t care filling for power minimization in VLSI circuit testingTapas K. Maiti, Santanu Chattopadhyay. 2637-2640 [doi]
- Design and analysis of skewed-distribution scan chain partition for improved test data compressionSying-Jyan Wang, Shih-Cheng Chen, Katherine Shu-Min Li. 2641-2644 [doi]
- Intellectual property authentication by watermarking scan chain in design-for-testability flowAijiao Cui, Chip-Hong Chang. 2645-2648 [doi]
- High performance data acquisition system for IRFPA testingWang Yong-gang, Zheng Yu-Feng, Zhou Zhong-Hui. 2649-2652 [doi]
- A fault-aware dynamic routing algorithm for on-chip networksAmir Hosseini, Tamer Ragheb, Yehia Massoud. 2653-2656 [doi]
- Generalized structure for designing odd-order variable fractional-delay filtersTian-Bo Deng. 2657-2660 [doi]
- Design of discrete Fractional Hilbert transformer in time domainSoo-Chang Pei, Peng-Hua Wang, Chia-Huei Lin. 2661-2664 [doi]
- The design of asymmetrical square-root pulse-shaping filters with wide eye-openingsChia-Yu Yao, Alan N. Willson Jr.. 2665-2668 [doi]
- Digital filter realizations absent of self-sustained oscillationsGang Li, Chunru Wan, Xiongxiong He. 2669-2672 [doi]
- Improved hot-spot location technique for proteins using a bandpass notch digital filterParameswaran Ramachandran, Wu-Sheng Lu, Andreas Antoniou. 2673-2676 [doi]
- A capacitor-less low-dropout regulator for SoC with bi-directional asymmetric bufferLiangguo Shen, Zushu Yan, Xing Zhang, Yuanfu Zhao. 2677-2680 [doi]
- Low-voltage LDO Compensation Strategy based on Current AmplifiersGianluca Giustolisi, Gaetano Palumbo, Ester Spitale. 2681-2684 [doi]
- A low-voltage CMOS low-dropout regulator with novel capacitor-multiplier frequency compensationZushu Yan, Liangguo Shen, Yuanfii Zhao, Suge Yue. 2685-2688 [doi]
- High linear voltage references for on-chip CMOS smart temperature sensor from -60degreeC to 140degreeCJoseph T.-s. Tsai, Herming Chiueh. 2689-2692 [doi]
- A low voltage CMOS bandgap reference circuitSanjay Kumar Wadhwa. 2693-2696 [doi]
- A low-power mixing DAC IR-UWB-receiverHans Danneels, Marian Verhelst, Pieter Palmers, Wim Vereecken, Bruno Boury, Wim Dehaene, Michiel Steyaert, Georges G. E. Gielen. 2697-2700 [doi]
- Design of UWB LNA in 45nm CMOS technology: Planar bulk vs. FinFETDavide Ponton, Pierpaolo Palestri, David Esseni, Luca Selmi, Marc Tiebout, Bertrand Parvais, Gerhard Knoblinger. 2701-2704 [doi]
- An energy-detector for non-coherent impulse-radio UWB receivers2705-2708 [doi]
- The design of integrated 3-GHz to 11-GHz CMOS transmitter for full-band ultra-wideband (UWB) applicationsWen-Chieh Wang, Chang-Ping Liao, Yi-Kai Lo, Zue-Der Huang, Fadi Riad Shahroury, Chung-Yu Wu. 2709-2712 [doi]
- A CMOS variable width short-pulse generator circuit for UWB RADAR applicationsNuno F. Paulino, João Goes, Adolfo Steiger-Garção. 2713-2716 [doi]
- Front-end amplifier of low-noise and tunable BW/gain for portable biomedical signal acquisitionChun-Chieh Huang, Shao-Hang Hung, Jen-Feng Chung, Lan-Da Van, Chin-Teng Lin. 2717-2720 [doi]
- A 0.65V rail-to-rail constant gm opamp for biomedical applicationsEdward K. F. Lee, Anthony Lam, Taihu Li. 2721-2724 [doi]
- A 1-V 1.1-muW sensor interface IC for wearable biomedical devicesXiaodan Zou, Xiaoyuan Xu, Jun Tan, Libin Yao, Yong Lian. 2725-2728 [doi]
- A DC coupled signal acquisition system with ultra-wide input rangeRobert Rieger, Andreas Demosthenous. 2729-2732 [doi]
- An ultra low-power CMOS action potential detectorBenoit Gosselin, Mohamad Sawan. 2733-2736 [doi]
- Human activity recognition for video surveillanceWeiyao Lin, Ming-Ting Sun, Radha Poovendran, Zhengyou Zhang. 2737-2740 [doi]
- An experimental study on pedestrian classification using local featuresSakrapee Paisitkriangkrai, Chunhua Shen, Jian Zhang. 2741-2744 [doi]
- A framework ofspatio-temporal analysisfor video surveillanceDuan-Yu Chen, Kevin Cannons, Hsiao-Rong Tyan, Sheng-Wen Shih, Hong-Yuan Mark Liao. 2745-2748 [doi]
- Multi-strategy object tracking in complex situation for video surveillanceRuijiang Luo, Liyuan Li, Weimin Huang, Qibin Sun. 2749-2752 [doi]
- A region-based object tracking scheme using Adaboost-based feature selectionFan-Tung Wei, Sheng-Ting Chou, Chia-Wen Lin. 2753-2756 [doi]
- Transient simulation of on-chip transmission lines via exact pole extractionGuoqing Chen, Eby G. Friedman. 2757-2760 [doi]
- On quantifying the figures of merit of power-gating for leakage power minimization in nanometer CMOS circuitsAshoka Visweswara Sathanur, Andrea Calimera, Antonio Pullini, Luca Benini, Alberto Macii, Enrico Macii, Massimo Poncino. 2761-2764 [doi]
- 3-D thermal simulation with dynamic power profilesEunjoo Choi, Youngsoo Shin. 2765-2768 [doi]
- Leakage power optimization for clock network using dual-Vth technologyWeixiang Shen, Yici Cai, Xianlong Hong. 2769-2772 [doi]
- Binning algorithm for accurate computer aided device modelingKaustubha A. Mendhurwar, Vijay Kumar Devabhaktuni, Rabin Raut. 2773-2776 [doi]
- Novel interconnect infrastructures for massive multicore chips - an overviewPartha Pratim Pande, Amlan Ganguly, Benjamin Belzer, Alireza Nojeh, André Ivanov. 2777-2780 [doi]
- Performance comparison between copper, carbon nanotube, and optical interconnectsKrishna Saraswat, Hoyeol Cho, Pawan Kapur, Kyung-Hoae Koo. 2781-2784 [doi]
- Non-traditional irregular interconnects for massive scale SoCChristof Teuscher, Anders A. Hansson. 2785-2788 [doi]
- Photonic networks-on-chip: Opportunities and challengesMichele Petracca, Keren Bergman, Luca P. Carloni. 2789-2792 [doi]
- Improving the scalability of checkpoint recovery for networks-on-chipClaudia Rusu, Cristian Grecu, Lorena Anghel. 2793-2796 [doi]
- System level design of a spatio-temporal video resampling architectureChih-Hung Kuo, Li-Chuan Chang, Zheng-Wei Liu, Bin-Da Liu. 2797-2800 [doi]
- High efficiency architecture of escot with pass concurrent context modeling scheme for scalable video codingJen-Shiun Chiang, Ting-Hao Hwang, Tsung-Ta Lin, Chih-Hsien Hsia. 2801-2804 [doi]
- An efficient VLSI architecture for rate disdortion optimization in AVS video encoderHai Bing Yin, Xi Zhong Lou, Zhe Lei Xia, Wen Gao. 2805-2808 [doi]
- Performance evaluation of DCT and wavelet transform for LSITareq Jaber, Abbes Amira, Peter Milligan. 2809-2812 [doi]
- A transform, lighting and setup ASIC for surface splattingSimon Heinzle, Olivier Saurer, Sebastian Axmann, Diego Browarnik, Andreas Schmidt, Flavio Carbognani, Peter Luethi, Norbert Felber, Markus H. Gross. 2813-2816 [doi]
- A new state estimation using synchronized phasor measurementsYunzhi Cheng, Xiao Hu, Bei Gou. 2817-2820 [doi]
- Oscillation monitoring from ambient PMU measurements by Frequency Domain DecompositionGuoping Liu 0002, Vaithianathan Mani Venkatasubramanian. 2821-2824 [doi]
- A thermal equivalent circuit for PEM fuel cell temperature control designWoonki Na, Bei Gou. 2825-2828 [doi]
- Fast-scale period-doubling bifurcation in voltage-mode controlled full-bridge inverterMing Li, Dong Dai, Xikui Ma, Herbert H. C. Iu. 2829-2832 [doi]
- An interleaved class E:::2::: dc/dc converterMotoki Katayama, Hiroo Sekiya, Takashi Yahagi. 2833-2836 [doi]
- A 25MHz all-CMOS reference clock generator for XO-replacement in serial wire interfacesMichael S. McCorquodale, Scott M. Pernia, Sundus Kubba, Gordy A. Carichner, Justin D. O Day, Eric D. Marsman, Jon Kuhn, Richard B. Brown. 2837-2840 [doi]
- A highly linear CMOS current-controlled oscillator using a novel frequency detectorSu Cui, T. Lakshmi Viswanathan, Thayamkulangara R. Viswanathan, Bhaskar Banerjee. 2841-2844 [doi]
- Programmable spread spectrum clock generation based on successive phase selection techniqueRuchir Saraswat, Uwe Zillmann, Supriyanto Supriyanto, Guido Droege, Ulrich Bretthauer. 2845-2848 [doi]
- A noise-shaping SC sine-wave oscillatorMiguel Angel Domínguez, Jose Luis Ausin, J. Francisco Duque-Carrillo, Guido Torelli. 2849-2852 [doi]
- 7-decades tunable translinear SiGe BiCMOS 3-phase sinusoidal oscillatorDimitrios N. Loizos, Paul-Peter Sotiriadis, Gert Cauwenberghs. 2853-2856 [doi]
- A low-area interconnect architecture for chip multiprocessorsZhiyi Yu, Bevan M. Baas. 2857-2860 [doi]
- A 0.6pJ/b 3Gb/s/ch transceiver in 0.18 µm CMOS for 10mm on-chip interconnectsJoonsung Bae, Joo-Young Kim, Hoi-Jun Yoo. 2861-2864 [doi]
- Explicit energy evaluation in RLC tree circuits with ramp inputsMassimo Alioto, Massimo Poli, Gaetano Palumbo. 2865-2868 [doi]
- Dynamic compact thermal model of a packageFarah Mohammadi, Mohsen Marami. 2869-2872 [doi]
- Modeling and simulation of complex heterogeneous systemsAmine Anane, El Mostapha Aboulhamid, Julie Vachon, Yvon Savaria. 2873-2876 [doi]
- Local computation and estimation of wavelet coefficients in the dual-tree complex wavelet transformIman A. El-shehaby, Trac D. Tran. 2877-2880 [doi]
- A new structure of lifting wavelet for reducing rounding errorHitoshi Kiya, Masahiro Iwahashi, Osamu Watanabe. 2881-2884 [doi]
- Statistical image modeling using von Mises distribution in the complex directional wavelet domainAn P. N. Vo, Soontorn Oraintara, Truong T. Nguyen. 2885-2888 [doi]
- On the probability density function of the derotated phase of complex wavelet coefficientsYothin Rakvongthai, Soontorn Oraintara. 2889-2892 [doi]
- Introduction to the Discrete Shapelet Transform and a new paradigm: Joint time-frequency-shape analysisRodrigo Capobianco Guido, Sylvio Barbon Junior, Lucimar Sasso Vieira, Fabrício Lopes Sanchez, Carlos Dias Maciel, Jose Carlos Pereira, Paulo Rogério Scalassara, Everthon Silva Fonseca. 2893-2896 [doi]
- A hexagonal Field Programmable Analog Array consisting of 55 digitally tunable OTAsJoachim Becker, Fabian Henrici, Stanis Trendelenburg, Maurits Ortmanns, Yiannos Manoli. 2897-2900 [doi]
- Design and realization of continuous-time wave digital filtersDieter Brückmann. 2901-2904 [doi]
- Harmonic vs. geometric mean Sinh integrators in weak inversion CMOSKostas N. Glaros, Andreas G. Katsiamis, Emmanuel M. Drakakis. 2905-2908 [doi]
- A PVT independent subthreshold constant-Gm stage for very low frequency applicationsVinay Agarwal, Sameer Sonkusale. 2909-2912 [doi]
- Design procedure for DVB-T receivers large tuning range LP filterPasquale Delizia, Marcello De Matteis, Stefano D Amico, Andrea Baschirotto, Carlos Azeredo Leme, Ricardo Reis. 2913-2916 [doi]
- ASIC hardware implementations for 512-bit hash function WhirlpoolAkashi Satoh. 2917-2920 [doi]
- Compact ASIC implementation of the ICEBERG block cipher with concurrent error detectionHuiju Cheng, Howard M. Heys. 2921-2924 [doi]
- High-performance ASIC implementations of the 128-bit block cipher CLEFIATakeshi Sugawara, Naofumi Homma, Takafumi Aoki, Akashi Satoh. 2925-2928 [doi]
- Secure pseudo-random bit sequence generation using coupled linear congruential generatorsRajendra S. Katti, Rajesh G. Kavasseri. 2929-2932 [doi]
- Differential Power Analysis of a SHACAL-2 hardware implementationYingxi Lu, Maire P. O Neill, John V. McCanny. 2933-2936 [doi]
- Recursive Least Squares adaptive filters for ultrasonic signal deconvolutionMartino Alessandrini, Luca De Marchi, Nicolo Speciale. 2937-2940 [doi]
- Adaptive EMG neutralization using the modified QTIoannis Pachnis, Andreas Demosthenous, Mohamad Rahal. 2941-2944 [doi]
- A low-distortion and wide dynamic range CMOS imager for wireless capsule endoscopyHo Yeung Chan, Jie Yuan. 2945-2948 [doi]
- New digital quadrature demodulator for real-time hand-held ultrasound medical imaging devicePhilippe Levesque, Mohamad Sawan. 2949-2952 [doi]
- A real-time setup for multisite signal recording and processing in living neural networksGuilherme Bontorin, Colin Lopez, Yannick Bornat, Noëlle Lewis, Sylvie Renaud, Mathieu C. Garenne, Gwendal Le Masson. 2953-2956 [doi]
- Multipurpose watermarking based on curvelet transformChune Zhang, L. L. Cheng, Lee-Ming Cheng, ZhengDing Qiu. 2957-2960 [doi]
- Advanced colluder detection techniques for OSIFT-based hiding codesByung-Ho Cha, C. C. Jay Kuo. 2961-2964 [doi]
- Quality-aware GSM speech watermarkingK. J.-L. Christabel, Sabu Emmanuel, Mohan S. Kankanhalli. 2965-2968 [doi]
- Watermarking curves using 2D mesh spectral transformJi-Young Kim, Dong-Hyuck Im, Hae-Yeoun Lee, Heung-Kyu Lee. 2969-2972 [doi]
- An interactive and secure user authentication scheme for mobile devicesQibin Sun, Zhi Li, Xudong Jiang, Alex ChiChung Kot. 2973-2976 [doi]
- Stochastic model and simulation of a random number generator circuitPeng Xu, Timothy K. Horiuchi, Pamela Abshire. 2977-2980 [doi]
- A fast band matching technique for impedance extractionJitesh Jain, Hong Li, Cheng-Kok Koh, Venkataramanan Balakrishnan. 2981-2984 [doi]
- A novel approach to statistical simulation of ICS affected by non-linear variabilitiesGiorgio Biagetti, Paolo Crippa, Alessandro Curzi, Simone Orcioni, Claudio Turchetti. 2985-2988 [doi]
- Process variations aware robust on-chip bus architecture synthesis for MPSoCsSujan Pandey, Rolf Drechsler, Tudor Murgan, Manfred Glesner. 2989-2992 [doi]
- Efficient linear macromodeling via least-squares response approximationChi-Un Lei, Hing-Kit Kwan, Yansong Liu, Ngai Wong. 2993-2996 [doi]
- Sigma-delta learning for super-resolution independent component analysisAmin Fazel, Shantanu Chakrabartty. 2997-3000 [doi]
- Fast blind equalization with two-stage single/multilevel modulus and DD algorithm for high order QAM cable systemsChih-Peng Fan, Wen-Hsuan Liang, Wei Lee. 3001-3004 [doi]
- Local independent component analysis applied to highly segmented detectorsEduardo F. Simas Filho, José Manoel de Seixas, Luiz Pereira Calôba. 3005-3008 [doi]
- Multiple-target localization and estimation of MIMO radars with unknown transmitted signalsWei Xia, Zishu He. 3009-3012 [doi]
- Signal modulus design for blind source separation via algebraic known modulus algorithm: A perturbation perspectiveJwo-Yuh Wu, Wen-Fang Yang, Li-Chun Wang, Ta-Sung Lee. 3013-3016 [doi]
- Automatic video diagnosing method using embedded crypto-watermarksYi-Chong Zeng, Soo-Chang Pei. 3017-3020 [doi]
- Joint optimization of data hiding and video compressionJithendra K. Paruchuri, Sen-Ching S. Cheung. 3021-3024 [doi]
- Data hiding in inter and intra prediction modes of H.264/AVCChia-Hsiung Liu, Oscal T.-C. Chen. 3025-3028 [doi]
- JPEG image steganalysis utilizing both intrablock and interblock correlationsChunhua Chen, Yun Q. Shi. 3029-3032 [doi]
- A wavelet-based semi-fragile watermarking with recovery mechanismMin-Jen Tsai, Chih-Cheng Chien. 3033-3036 [doi]
- Accelerated state-variable modeling of synchronous machine-converter systemsLiewi Wang, Ali Davoudi, Juri Jatskevich, Patrick L. Chapman. 3037-3040 [doi]
- Sectionalized PWM (S-PWM): A new multilevel modulation strategyHirak Patangia, Dennis Gregory. 3041-3044 [doi]
- Current mode DC-DC buck converters with optimal fast-transient controlYu-Huei Lee, Shih-Jung Wang, Chun-Yu Hsieh, Ke-Horng Chen. 3045-3048 [doi]
- On the design of single-inductor multiple-output DC-DC buck convertersMassimiliano Belloni, Edoardo Bonizzoni, Franco Maloberti. 3049-3052 [doi]
- Automatic dead-time adjustment CMOS mixed-signal circuit for a DCM-operated 3-level switching power converterGerard Villar, Eduard Alarcón, Francesc Guinjoan, Alberto Poveda. 3053-3056 [doi]
- An 8 Mbps data rate transmission by inductive link dedicated to implantable devicesZhijun Lu, Mohamad Sawan. 3057-3060 [doi]
- Design of an active-inductor-based termination circuit for high-speed I/OYen-Sung Michael Lee, Shahriar Mirabbasi. 3061-3064 [doi]
- A passive filter aided timing recovery schemeFaisal A. Musa, Anthony Chan Carusone. 3065-3068 [doi]
- A 8-Gb/s/pin current mode multi-level simultaneous bidirectional I/OYong Sin Kim, Sung-Mo Kang. 3069-3072 [doi]
- Pulse-mode link for robust, high speed communicationsMerritt Miller, Greg Hoover, Forrest Brewer. 3073-3077 [doi]
- Novel interpolation architecture for Low-Complexity Chase soft-decision decoding of Reed-Solomon codesJiangli Zhu, Xinmiao Zhang, Zhongfeng Wang. 3078-3081 [doi]
- Symbol and carrier frequency offset synchronization for IEEE802.16eJyun-Nan Lin, Hsiao-Yun Chen, Ting-Chen Wei, Shyh-Jye Jou. 3082-3085 [doi]
- A 28Gbps 4×4 switch with low jitter SerDes using area-saving RF model in 0.13µm CMOS technologyYu-Hao Hsu, Ming-Hao Lu, Ping-Ling Yang, Fanta Chen, You-Hung Li, Min-Sheng Kao, Chih-Hsing Lin, Ching-Te Chiu, Jen-Ming Wu, Shuo-Hung Hsu, Yarsun Hsu. 3086-3089 [doi]
- A 600-Mb/s encoder and decoder for low-density parity-check convolutional codesTyler L. Brandon, John C. Koob, Leendert van den Berg, Zhengang Chen, Amirhossein Alimohammad, Ramkrishna Swamy, Jason Klaus, Stephen Bates, Vincent C. Gaudet, Bruce F. Cockburn, Duncan G. Elliott. 3090-3093 [doi]
- CMOS temperature sensor with ring oscillator for mobile DRAM self-refresh controlChan Kyung Kim, Bai-Sun Kong, Chil-Gee Lee, Young-Hyun Jun. 3094-3097 [doi]
- Adaptive equalization for filter bank based multicarrier systemsDirk S. Waldhauser, Leonardo Gomes Baltar, Josef A. Nossek. 3098-3101 [doi]
- A new blind-block reciprocal parametric transformSaad Bouguezel, M. Omair Ahmad, M. N. S. Swamy. 3102-3105 [doi]
- A lattice structure for linear-phase perfect reconstruction filter banks with mirror image symmetric frequency responseChao Wu, Wei-Ping Zhu, M. N. S. Swamy. 3106-3109 [doi]
- Oversampled linear-phase perfect reconstruction filter banks with higher-order feasible building blocks: Structure and parameterizationYuichi Tanaka, Masaaki Ikehara, Truong Q. Nguyen. 3110-3113 [doi]
- A farrow-structure-based multi-mode transmultiplexerAmir Eghbali, Håkan Johansson, Per Löwenborg. 3114-3117 [doi]
- Linear-enhanced V to I converters based on MOS resistive source degenerationBelén Calvo, Antonio J. López-Martín, Sandhana Balasubramanian, Jaime Ramírez-Angulo, Ramón González Carvajal. 3118-3121 [doi]
- Log-domain channel-select filters for multistandard wireless receiversMohamed A. Shaheen, Mourad N. El-Gamal. 3122-3125 [doi]
- Power and area efficient high speed analog adaptive equalizationShanthi Pavan. 3126-3129 [doi]
- Current-mode filter in 65nm CMOS for a software-radio applicationRobert Kolm, Weixun Yan, Horst Zimmermann. 3130-3133 [doi]
- Active bandpass filter using transformer feedback in 0.18-µm CMOS for 802.11a wireless LANKuo-Ken Huang, Sen Wang, Ching-Kuang C. Tzuang. 3134-3137 [doi]
- A high SFDR direct digital synthesizer with frequency error free outputKai Zhang, Xinming Huang. 3138-3141 [doi]
- Curse of digital polar transmission: Precise delay alignment in amplitude and phase modulation pathsKhurram Waheed, Robert B. Staszewski, Sameh Rezeq. 3142-3145 [doi]
- Design and low-power implementation of an adaptive image rejection receiverEdiz Çetin, Sinan Topcu, Izzet Kale, Richard C. S. Morling. 3146-3149 [doi]
- Cost-effective echo and NEXT canceller designs for 10GBASE-T ethernet systemYen-Liang Chen, Cheng-Zhou Zhan, An-Yeu Wu. 3150-3153 [doi]
- Efficient FPGA implementation of complex multipliers using the logarithmic number systemMan Yan Kong, J. M. Pierre Langlois, Dhamin Al-Khalili. 3154-3157 [doi]
- An ultra-low-power micro-optoelectromechanical tilt sensorTimothy G. Constandinou, Julius Georgiou, Charalambos Andreou. 3158-3161 [doi]
- Polarization analyzing CMOS sensor for microchamber/microfluidic system based on image sensor technologyTakashi Tokuda, Sho Sato, Hirofumi Yamada, Jun Ohta. 3162-3165 [doi]
- The chemical current-conveyor: a new microchip biosensorPanavy Pookaiyaudom, Chris Toumazou, F. J. Lidgey. 3166-3169 [doi]
- A novel hybrid active-passive pixel with correlated double sampling CMOS readout circuit for medical x-ray imagingNader Safavian, G. Reza Chaji, Karim S. Karim, John A. Rowlands. 3170-3173 [doi]
- A mini-invasive multi-function bladder urine pressure measurement systemChua-Chin Wang, Chi-Chun Huang, Jun-Han Wu, I-Yu Huang. 3174-3177 [doi]
- Turning liabilities into assets: Exploiting deep submicron CMOS technology to design secure embedded circuitsPatrick Schaumont, David D. Hwang. 3178-3181 [doi]
- Utilizing sub-threshold technology for the creation of secure circuitsSyed Imtiaz Haider, Leyla Nazhandali. 3182-3185 [doi]
- Brand and IP protection with physical unclonable functionsJorge Guajardo, Sandeep S. Kumar, Geert Jan Schrijen, Pim Tuyls. 3186-3189 [doi]
- Asynchronous balanced gates tolerant to interconnect variabilityKonrad J. Kulikowski, Vyas Venkataraman, Zhen Wang, Alexander Taubin, Mark G. Karpovsky. 3190-3193 [doi]
- Physical unclonable function with tristate buffersErdinç Öztürk, Ghaith Hammouri, Berk Sunar. 3194-3197 [doi]
- A least-squares based method for IIR filtering with noisy input-output dataWei Xing Zheng. 3198-3201 [doi]
- An algorithm for ARMA model parameter estimation from noisy observationsShaikh Anowarul Fattah, Wei-Ping Zhu, M. Omair Ahmad. 3202-3205 [doi]
- An efficient approach for designing filter banks for Multi-Carrier TransmissionPilar Martín-Martín, Robert Bregovic, Tapio Saramäki. 3206-3209 [doi]
- Adaptive wavelet denoising system for speech enhancementLan Xu, Hon Keung Kwan. 3210-3213 [doi]
- Robust adaptive beamformers with linear matrix inequality constraintsZhu Liang Yu, Wee Ser, Meng Hwa Er. 3214-3217 [doi]
- Missing feature speech recognition in a meeting situation with maximum SNR beamformingDorothea Kolossa, Shoko Araki, Marc Delcroix, Tomohiro Nakatani, Reinhold Orglmeister, Shoji Makino. 3218-3221 [doi]
- Multimicrophone speech dereverberation using spatiotemporal and spectral processingNikolay D. Gaubitch, Emanuel A. P. Habets, Patrick A. Naylor. 3222-3225 [doi]
- Blind speech dereverberation using batch and sequential Monte Carlo methodsChristine Evers, James R. Hopgood, Judith Bell. 3226-3229 [doi]
- A study of identifibility for blind source separation via non-orthogonal joint diagonalizationHua Zhang, Da-Zheng Feng, Wei Xing Zheng. 3230-3233 [doi]
- Footstep classification using simple speech recognition techniqueAkitoshi Itai, Hiroshi Yasukawa. 3234-3237 [doi]
- Audio event classification using binary hierarchical classifiers with feature selection for healthcare applicationsYa-Ti Peng, Ching-Yung Lin, Ming-Ting Sun. 3238-3241 [doi]
- Face hallucination based on independent component analysisJu Liu, Jianping Qiao, Xiaoling Wang, Yujun Li. 3242-3245 [doi]
- Face clustering in videos using constraint propagationJi Tao, Yap-Peng Tan. 3246-3249 [doi]
- Musical beat tracking via Kalman filtering and noisy measurements selectionYu Shiu, C. C. Jay Kuo. 3250-3253 [doi]
- Efficient music representation with content adaptive dictionariesNamgook Cho, Yu Shiu, C. C. Jay Kuo. 3254-3257 [doi]
- ZVS operating frequency versus duty ratio of class E amplifier with nonlinear shunt capacitanceTadashi Suetsugu, Marian K. Kazimierczuk. 3258-3261 [doi]
- Characterizing fast-scale instability in a buck-based switching amplifier for wideband trackingEnric Rodriguez, Francesc Guinjoan, Alberto Poveda, Eduard Alarcón, Abdelali El Aroudi. 3262-3265 [doi]
- Smooth transition and ripple reduction in 4-switch non-inverting buck-boost power converter for WCDMA RF power amplifierRajarshi Paul, Dragan Maksimovic. 3266-3269 [doi]
- Off-time prediction in digital constant on-time modulation for DC-DC convertersNa Kong, Dong Sam Ha, Jian Li, Fred C. Lee. 3270-3273 [doi]
- A 2.5MHz, 97 -accuracy on-chip current sensor with dynamically-biased shunt feedback for current-mode switching DC-DC convertersMengmeng Du, Hoi Lee. 3274-3277 [doi]
- A high speed word level finite field multiplier using reordered normal basisAshkan Hosseinzadeh Namin, Huapeng Wu, Majid Ahmadi. 3278-3281 [doi]
- Enhanced power analysis attack using chosen message against RSA hardware implementationsAtsushi Miyamoto, Naofumi Homma, Takafumi Aoki, Akashi Satoh. 3282-3285 [doi]
- Advanced Encryption Standard (AES) implementation with increased DPA resistance and low overheadJohn Goodwin, Peter R. Wilson. 3286-3289 [doi]
- On the security of a class of image encryption schemesChengqing Li, Guanrong Chen. 3290-3293 [doi]
- Implementation of AES S-Boxes using combinational logicRashmi Ramesh Racch, Pemmaraju V. Ananda Mohan. 3294-3297 [doi]
- A dual-field elliptic curve cryptographic processor based on a systolic arithmetic unitGang Chen, Guoqiang Bai, Hongyi Chen. 3298-3301 [doi]
- A full-custom design of AES SubByte module with signal independent power consumptionLiang Li, Jun Han, Xiaoyang Zeng, Jia Zhao. 3302-3305 [doi]
- Switching activity reduction in low power Booth multiplierRizwan Mudassir, Mohab Anis, Javid Jaffari. 3306-3309 [doi]
- A 6.3nJ/op low energy 160-bit modulo-multiplier for elliptic curve cryptography processorHyejung Kim, Yongsang Kim, Hoi-Jun Yoo. 3310-3313 [doi]
- Differential Power Analysis resistant hardware implementation of the RSA cryptosystemKeklik Alptekin Bayam, Siddika Berna Örs. 3314-3317 [doi]
- A low-power monolithically stacked 3D-TCAMMingjie Lin, Jianying Luo, Yaling Ma. 3318-3321 [doi]
- Green micro-architecture and circuit co-design for ternary content addressable memoryPo-Tsang Huang, Shu-Wei Chang, Wen-Yen Liu, Wei Hwang. 3322-3325 [doi]
- A dynamic address decode circuit for implementing range addressable look-up tablesRoberto Muscedere, Karl Leboeuf. 3326-3329 [doi]
- High speed single-ended pseudo differential current sense amplifier for SRAM cellAbhijit Sil, Eswar Prasad Kolli, Soumik Ghosh, Magdy Bayoumi. 3330-3333 [doi]
- A nano-CMOS process variation induced read failure tolerant SRAM cellJawar Singh, Jimson Mathew, Saraju P. Mohanty, Dhiraj K. Pradhan. 3334-3337 [doi]
- A power-aware 2-dimensional bypassing multiplier using cell-based design flowGang-Neng Sung, Yan-Jhin Ciou, Chua-Chin Wang. 3338-3341 [doi]
- A 5.2mW all-digital fast-lock self-calibrated multiphase delay-locked loopLi-Pu Chuang, Ming-Hung Chang, Po-Tsang Huang, Chih-Hao Kan, Wei Hwang. 3342-3345 [doi]
- A general model for differential power analysis attacks to static logic circuitsMassimo Alioto, Massimo Poli, Santina Rocchi. 3346-3349 [doi]
- Programmable threshold voltage using quantum dot transistors for low-power mobile computingShuo Wang, Jianwei Dai, El-Sayed Hasaneen, Lei Wang, Faquir Jain. 3350-3353 [doi]
- A novel floating gate circuit family with subthreshold voltage swing for ultra-low power operationAmeet Chavan, Eric MacDonald, Norman Liu, Joseph Neff. 3354-3357 [doi]
- A novel VLSI iterative divider architecture for fast quotient generationTso-Bing Juang, Sheng-Hung Chen, Shin-Mao Li. 3358-3361 [doi]
- Modified CSD group multiplier design for predetermined coefficient groupsYong-Eun Kim, Su-Hyun Cho, Jin-Gyun Chung. 3362-3365 [doi]
- New designs of Redundant-Binary full Adders and its applicationsZine Abid, Wei Wang. 3366-3369 [doi]
- A variant of a radix-10 combinational multiplierLuigi Dadda, Aberto Nannarelli. 3370-3373 [doi]
- Reduced Z-datapath Cordic RotatorKoushik Maharatna, Karim El-Shabrawy, Bashir Al-Hashimi. 3374-3377 [doi]
- A hybrid self-testing methodology of processor coresTai-Hua Lu, Chung-Ho Chen, Kuen-Jong Lee. 3378-3381 [doi]
- PrSoC: Programmable System-on-chip (SoC) for silicon prototypingChun-Ming Huang, Chien-Ming Wu, Chih-Chyau Yang, Chin-Long Wey. 3382-3385 [doi]
- Optimization technique for flip-flop inserted global interconnectJingye Xu, Abinash Roy, Masud H. Chowdhury. 3386-3389 [doi]
- A real-time image denoising chipPei-Yin Chen, Chih-Yuan Lien, Yi-Ming Lin. 3390-3393 [doi]
- Accurate analytical delay modeling of CMOS clock buffers considering power supply variationsSami Kirolos, Yehia Massoud, Yehea I. Ismail. 3394-3397 [doi]
- A semi-custom memory design for an asynchronous 8051 microcontrollerKok-Leong Chang, Bah-Hwee Gwee, Yuanjin Zheng. 3398-3401 [doi]
- De-synchronization of a point-of-sales digital-logic controllerKok-Leong Chang, Yao Zhu, Bah-Hwee Gwee. 3402-3405 [doi]
- A cost effective reconfigurable memory for multimedia multithreading streaming architectureYou-Ming Tsao, Ka-Hang Lok, Yu-Cheng Lin, Chih-Hao Sun, Shao-Yi Chien, Liang-Gee Chen. 3406-3409 [doi]
- A synchronized variable frequency clock scheme in chip multiprocessorsQifei Fan, Ge Zhang, Weiwu Hu. 3410-3413 [doi]
- Full waveform accuracy to estimate delay in coupled digital circuitsJingye Xu, Pervez Khaled, Masud H. Chowdhury. 3414-3417 [doi]
- Optimization of active circuits for substrate noise suppressionGrzegorz Blakiewicz, Malgorzata Chrzanowska-Jeske. 3418-3421 [doi]
- Design of an all-digital variable length ring oscillator (VLRO) for clock synthesisHung Tien Bui. 3422-3425 [doi]
- A reconfigurable MAC architecture implemented with mixed-Vt standard cell libraryLi-Rong Wang, Yi-Wei Chiu, Chia-Lin Hu, Ming-Hsien Tu, Shyh-Jye Jou, Chung-Len Lee. 3426-3429 [doi]
- A data traffic efficient H.264 deblocking IPWeining Hao, Martin Radetzki. 3430-3433 [doi]
- ADAPTO: full-adder based reconfigurable architecture for bit level operationsGian-Carlo Cardarilli, Luca Di Nunzio, Marco Re, Alberto Nannarelli. 3434-3437 [doi]
- Improving datapathutilization of programmable DSP with composite functional unitsShih-Hao Ou, Yi Cho, Tay-Jyi Lin, Chih-Wei Liu. 3438-3441 [doi]
- Bit-depth scalable coding based on macroblock level inter-layer predictionYuwen Wu, Yongying Gao, Ying Chen. 3442-3445 [doi]
- Freeview rendering with trinocular cameraDong Bo Min, Donghyun Kim, SangUn Yuri, Kwanghoon Sohn. 3446-3449 [doi]
- Side information generation with constrained relaxation for distributed multi-view video codingHui Lv, Hongkai Xiong, Yongsheng Zhang, Zhihai He. 3450-3453 [doi]
- Hyperspectral image coding with LVQ-SPECKAlessandro J. S. Dutra, William A. Pearlman, Eduardo A. B. da Silva. 3454-3457 [doi]
- Wavelet based distributed video coding with spatial scalabilityBo Wu, Xiangyang Ji, Debin Zhao, Wen Gao. 3458-3461 [doi]
- A 100MHz ASIP (application specific instruction processor) for CAVLC of H.264/AVC decoderJun-Young Lee, Jae-Jin Lee, MooKyoung Jeong, NakWoong Eum, SeongMo Park. 3462-3465 [doi]
- Enhanced temporal error concealment algorithm with edge-sensitive processing orderTung-Hsing Wu, Guan-Lin Wu, Ching-Yi Chen, Shao-Yi Chien. 3466-3469 [doi]
- Frame loss error concealment for multiview video codingShujie Liu, Ying Chen, Ye-Kui Wang, Moncef Gabbouj, Miska M. Hannuksela, Houqiang Li. 3470-3473 [doi]
- Numerical error analysis for super-resolution reconstructionCi Wang, Yap-Peng Tan, Chan Kap Luk. 3474-3477 [doi]
- ISID : In-order scan and indexed diffusion segmentation algorithm for stereo visionJing-Chu Chan, Nelson Yen-Chung Chang, Tian-Sheuan Chang. 3478-3481 [doi]
- Fast sub-pixel motion estimation and mode decision for H.264Weiyao Lin, David M. Baylon, Krit Panusopone, Ming-Ting Sun. 3482-3485 [doi]
- Efficient all-zero block detection algorithm for H.264 integer transformTianxiao Ye, Yap-Peng Tan, Ping Xue. 3486-3489 [doi]
- A novel fine rate control algorithm with adaptive rounding offsetYali Liu, Xiaoan Lu, Cristina Gomila, Qian Xu. 3490-3493 [doi]
- Accelerating vector quantization of images using modified run length coding for adaptive block representation and difference measurementChih-Chung Hsu, Hsuan-Ting Chang. 3494-3497 [doi]
- Constant distortion rate control for H.264/AVC high definition videos with scene changeDongDong Zhang, Zhenzhong Chen, King Ngi Ngan. 3498-3501 [doi]
- Fast selective-intra mode search algorithm based on macro-block tracking for inter-frames in the H.264/AVC video standardByung-Gyu Kim, Chang-Sik Cho, Tae-Jeong Kim. 3502-3505 [doi]
- Data Reuse method between Heterogeneous Partitions (DRHP) in H.264/AVC motion compensatorSeonpil Kim, Heejun Shim, Chong-Min Kyung. 3506-3509 [doi]
- Performance evaluation of H.264 video over ad hoc networks based on dual mode IEEE 802.11B/G and EDCA MAC architectureByung Joon Oh, Chang Wen Chen. 3510-3513 [doi]
- Overcoming burst packet loss in peer-to-peer live streaming systemsPeng-Jung Wu, Chung-Nan Lee, Victor Gau, Jenq-Neng Hwang. 3514-3517 [doi]
- An SDRAM controller optimized for high definition video coding applicationJiayi Zhu, Peilin Liu, Dajiang Zhou. 3518-3521 [doi]
- A baseball exploration system using spatial pattern recognitionHua-Tsiing Chen, Ming-Ho Hsiao, Hsuan-Sheng Chen, Wen-Jim Tsai, Suh-Yin Lee. 3522-3525 [doi]
- Spatiotemporal projection of motion field sequence for generating feature vectors in gesture perceptionHitoshi Hayakawa, Tadashi Shibata. 3526-3529 [doi]
- Spatial-temporal consistent labeling for multi-camera multi-object surveillance systemsJing-Ying Chang, Tzu-Heng Wang, Shao-Yi Chien, Liang-Gee Chen. 3530-3533 [doi]
- Clipping-ratio-independent 3D graphics clipping engine by dual-thread algorithmJeong Hyun Kim, Kyusik Chung, Young-Jun Kim, Seok-Hoon Kim, Lee-Sup Kim. 3534-3537 [doi]
- A statistical framework for replay detection in soccer videoYing Yang, Shouxun Lin, Yongdong Zhang, Sheng Tang. 3538-3541 [doi]
- Advanced real time fire detection in video surveillance systemChin-Lun Lai, Jie-Ci Yang. 3542-3545 [doi]
- Suspicious object detection using fuzzy-color histogramChi-Hung Chuang, Jun-Wei Hsieh, Luo-Wei Tsai, Pei-Shiuan Ju, Kuo-Chin Fan. 3546-3549 [doi]
- Video enhancement based on saturation adjustment and contrast enhancementYi-Chong Zeng, Hong-Yuan Mark Liao. 3550-3553 [doi]
- Algorithm for parallel inverse halftoning using partitioning of Look-Up Table (LUT)Umair F. Siddiqi, Sadiq M. Sait. 3554-3557 [doi]
- A feature-based image registration technique for images of different scaleMohamed S. Yasein, Pan Agathoklis. 3558-3561 [doi]
- Recover image coding loss with LMS filteringWeisi Lin, Jinghong Zheng, Li Dong. 3562-3565 [doi]
- FMO slice group maps using spatial and temporal indicators for H.264 wireless video transmissionRhandley D. Cajote, Supavadee Aramvith, Rowena Cristina L. Guevara, Yoshikazu Miyanaga. 3566-3569 [doi]
- Fast mode selection to reduce the encoding complexity of H.264/AVCBin Zhan, Baochun Hou, Reza Sotudeh. 3570-3573 [doi]
- A generalized fast motion estimation algorithm using external and internal stop search techniques for H.264 video coding standardYasser Ismail, Jason McNeely, Mohsen Shaaban, Magdy A. Bayoumi. 3574-3577 [doi]
- Redundant multiscale structure coding for error resilient video completionLei Yang, Hongkai Xiong. 3578-3581 [doi]