Journal: IEEE Trans. VLSI Syst.

Volume 17, Issue 9

1173 -- 1186Lei Zhang 0008, Yinhe Han, Qiang Xu, Xiaowei Li, Huawei Li. On Topology Reconfiguration for Defect-Tolerant NoC-Based Homogeneous Manycore Systems
1187 -- 1195Shah M. Jahinuzzaman, Mohammad Sharifkhani, Manoj Sachdev. An Analytical Model for Soft Error Critical Charge of Nanometric SRAMs
1196 -- 1202Peiyi Zhao, Jason McNeely, Pradeep Kumar Golconda, Soujanya Venigalla, Nan Wang, Magdy A. Bayoumi, Weidong Kuang, Luke Downey. Low-Power Clocked-Pseudo-NMOS Flip-Flop for Level Conversion in Dual Supply Systems
1203 -- 1211Jie Gu, Hanyong Eom, John Keane, Chris H. Kim. Sleep Transistor Sizing and Adaptive Control for Supply Noise Minimization Considering Resonance
1212 -- 1219Po-Chun Hsieh, Jing-Siang Jhuang, Pei-Yun Tsai, Tzi-Dar Chiueh. A Low-Power Delay Buffer Using Gated Driver Tree
1220 -- 1232Saumya Chandra, Kanishka Lahiri, Anand Raghunathan, Sujit Dey. Variation-Tolerant Dynamic Power Management at the System-Level
1233 -- 1246Magnus Själander, Per Larsson-Edefors. Multiplication Acceleration Through Twin Precision
1247 -- 1259Julio A. de Oliveira Filho, Stephan Masekowsky, Thomas Schweizer, Wolfgang Rosenstiel. CGADL: An Architecture Description Language for Coarse-Grained Reconfigurable Arrays
1260 -- 1266Jeong-Ho Woo, Ju-Ho Sohn, Hyejung Kim, Hoi-Jun Yoo. A 152-mW Mobile Multimedia SoC With Fully Programmable 3-D Graphics and MPEG4/H.264/JPEG
1267 -- 1274Liang Zhang, J. M. Wilson, Rizwan Bashirullah, Lei Luo, Jian Xu, Paul D. Franzon. A 32-Gb/s On-Chip Bus With Driver Pre-Emphasis Signaling
1275 -- 1284Pei-Yin Chen, Chih-Yuan Lien, Chi-Pin Lu. VLSI Implementation of an Edge-Oriented Image Scaling Processor
1285 -- 1296Takahisa Wada, Shunichi Ishiwata, Katsuyuki Kimura, Keiri Nakanishi, Masato Sumiyoshi, Takashi Miyamori, Masaki Nakagawa. A VLIW Vector Media Coprocessor With Cascaded SIMD ALUs
1297 -- 1303Ming-Bo Lin, Yung-Yi Chang. A New Architecture of a Two-Stage Lossless Data Compression and Decompression Algorithm
1304 -- 1317Florin Balasa, Hongwei Zhu, Ilie I. Luican. Signal Assignment to Hierarchical Memory Organizations for Embedded Multidimensional Signal Processing Systems
1318 -- 1329Jason Cong, Karthik Gururaj, Guoling Han, Wei Jiang. Synthesis Algorithm for Application-Specific Homogeneous Processor Networks
1330 -- 1334De-Shiuan Chiou, Shih-Hsin Chen, Shih-Chieh Chang. Sleep Transistor Sizing for Leakage Power Minimization Considering Charge Balancing
1334 -- 1339Bradley R. Quinton, Steven J. E. Wilton. Programmable Logic Core Enhancements for High-Speed On-Chip Interfaces
1339 -- 1342Dhruva Ghai, Saraju P. Mohanty, Elias Kougianos. Design of Parasitic and Process-Variation Aware Nano-CMOS RF Circuits: A VCO Case Study
1343 -- 1347Kyoungwoo Lee, Aviral Shrivastava, Ilya Issenin, Nikil D. Dutt, Nalini Venkatasubramanian. Partially Protected Caches to Reduce Failures Due to Soft Errors in Multimedia Applications
1348 -- 1352Xianwu Xing, Ching-Chuen Jong. Multivoltage Multifrequency Low-Energy Synthesis for Functionally Pipelined Datapath
1353 -- 1357Jason Thong, Nicola Nicolici. Time-Efficient Single Constant Multiplication Based on Overlapping Digit Patterns
1357 -- 1362Sherief Reda, Gregory Smith 0002, Larry Smith 0004. Maximizing the Functional Yield of Wafer-to-Wafer 3-D Integration
1362 -- 1366Chenjie Yu, Peter Petrov. Low-Power Snoop Architecture for Synchronized Producer-Consumer Embedded Multiprocessing

Volume 17, Issue 8

973 -- 982Shuo Wang, Lei Wang. Exploiting Memory Soft Redundancy for Joint Improvement of Error Tolerance and Access Efficiency
983 -- 996Philippe Clauss, Federico Javier Fernández, Diego Garbervetsky, Sven Verdoolaege. Symbolic Polynomial Maximization Over Convex Sets and Its Application to Memory Requirement Estimation
997 -- 1007Mian Dong, Lin Zhong. Nanowire Crossbar Logic and Standard Cell-Based Integration
1008 -- 1020Po-Ching Lin, Ying-Dar Lin, Yuan-Cheng Lai, Yi-Jun Zheng, Tsern-Huei Lee. Realizing a Sub-Linear Time String-Matching Algorithm With a Hardware Accelerator Using Bloom Filters
1021 -- 1033Jong Suk Lee, Dong Sam Ha. FleXilicon Architecture and Its VLSI Implementation
1034 -- 1047Jun-hee Yoo, Sungjoo Yoo, Kiyoung Choi. Topology/Floorplan/Pipeline Co-Design of Cascaded Crossbar Bus
1048 -- 1060Jason Helge Anderson, Farid N. Najm. Low-Power Programmable FPGA Routing Circuitry
1061 -- 1072Changzhong Chen, Dharmendra Saraswat, Ramachandra Achar, Emad Gad, Michel S. Nakhla, Mustapha Chérif-Eddine Yagoub. Passivity Compensation Algorithm for Method-of-Characteristics-Based Multiconductor Transmission Line Interconnect Macromodels
1073 -- 1086Renato Fernandes Hentschke, Jagannathan Narasimhan, Marcelo O. Johann, Ricardo Reis. Maze Routing Steiner Trees With Delay Versus Wire Length Tradeoff
1087 -- 1098Ren-Jie Lee, Hung-Ming Chen. Fast Flip-Chip Pin-Out Designation Respin for Package-Board Codesign
1099 -- 1112Kendall Ananyi, Hamad Alrimeih, Daler N. Rakhmatov. Flexible Hardware Processor for Elliptic Curve Cryptography Over NIST Prime Fields
1113 -- 1126Hwisung Jung, Andy Hwang, Massoud Pedram. Predictive-Flow-Queue-Based Energy Optimization for Gigabit Ethernet Controllers
1127 -- 1137Bo Zhai, Sanjay Pant, Leyla Nazhandali, Scott Hanson, Javin Olson, Anna Reeves, Michael Minuth, Ryan Helfand, Todd M. Austin, Dennis Sylvester, David Blaauw. Energy-Efficient Subthreshold Processor Design
1138 -- 1142Hui Shao, Chi-Ying Tsui, Wing-Hung Ki. The Design of a Micro Power Management System for Applications Using Photovoltaic Cells With the Maximum Output Power Control
1142 -- 1147Ciaran Toal, Kieran McLaughlin, Sakir Sezer, Xin Yang. Design and Implementation of a Field Programmable CRC Circuit Architecture
1147 -- 1151Mohammad M. Mansour. A Parallel Pruned Bit-Reversal Interleaver
1152 -- 1156Po-Lin Chen, Jhih-Wei Lin, Tsin-Yuan Chang. IEEE Standard 1500 Compatible Delay Test Framework
1157 -- 1161Milos Petrovic, Aleksandra Smiljanic, Milos Blagojevic. Design of the Switching Controller for the High-Capacity Non-Blocking Internet Router
1161 -- 1166Daniele Rossi, José Manuel Cazeaux, Martin Omaña, Cecilia Metra, Abhijit Chatterjee. Accurate Linear Model for SET Critical Charge Estimation
1166 -- 1170Youngmin Kim, Dusan Petranovic, Dennis Sylvester. Simple and Accurate Models for Capacitance Considering Floating Metal Fill Insertion

Volume 17, Issue 7

853 -- 854Jordi Cortadella, Alexander Taubin. Guest Editorial: Special Section on Asynchronous Circuits and Systems
855 -- 868Victor Khomenko. Efficient Automatic Resolution of Encoding Conflicts Using STG Unfoldings
869 -- 882Sobeeh Almukhaizim, Feng Shi, Eric Love, Yiorgos Makris. Soft-Error Tolerance and Mitigation in Asynchronous Burst-Mode Circuits
883 -- 892Tsung-Te Liu, Louis P. Alarcón, Matthew D. Pierson, Jan M. Rabaey. Asynchronous Computing in Sense Amplifier-Based Pass Transistor Logic
893 -- 906Yvain Thonnart, Edith Beigné, Alexandre Valentian, Pascal Vivet. Power Reduction of Asynchronous Logic Circuits Using Activity Detection
907 -- 919Jeremie Hamon, Laurent Fesquet, Benoit Miscopein, Marc Renaudin. Constrained Asynchronous Ring Structures for Robust Digital Oscillators
920 -- 923C. H. van Berkel, T. A. van Roermund. Scalable Multi-Input-Multi-Output Queues With Application to Variation-Tolerant Architectures
923 -- 928William F. McLaughlin, Amitava Mitra, Steven M. Nowick. Asynchronous Protocol Converters for Two-Phase Delay-Insensitive Global Communication
929 -- 942Hwisung Jung, Massoud Pedram. Uncertainty-Aware Dynamic Power Management in Partially Observable Domains
943 -- 952Yocheved Dotan, Nadav Levison, Roi Avidan, David J. Lilja. History Index of Correct Computation for Fault-Tolerant Nano-Computing
953 -- 963Jie S. Hu, Shuai Wang, Sotirios G. Ziavras. On the Exploitation of Narrow-Width Values for Improving Register File Reliability
964 -- 967Anna Richelli, Luigi Colalongo, Luca Mensi, Alessio Cacciatori, Zsolt Miklós Kovács-Vajna. Charge Pump Architectures Based on Dynamic Gate Control of the Pass-Transistors
967 -- 971Pierantonio Merlino, Antonio Abramo. A Fully Pipelined Architecture for the LOCO-I Compression Algorithm

Volume 17, Issue 6

733 -- 746Feng Lu, Kwang-Ting Cheng. SEChecker: A Sequential Equivalence Checking Framework Based on Kth Invariants
747 -- 757Pramod Kumar Meher. Systolic and Non-Systolic Scalable Modular Designs of Finite Field Multipliers for Reed-Solomon Codec
758 -- 769Meng-Fan Chang, Shu-Meng Yang. Analysis and Reduction of Supply Noise Fluctuations Induced by Embedded Via-Programming ROM
770 -- 780Rupak Samanta, Ganesh Venkataraman, Jiang Hu. Clock Buffer Polarity Assignment for Power Noise Reduction
781 -- 792Rajesh Garg, Nikhil Jayakumar, Sunil P. Khatri, Gwan S. Choi. Circuit-Level Design Approaches for Radiation-Hard Digital Electronics
793 -- 802Dayu Yang, Foster F. Dai, Weining Ni, Yin Shi, Richard C. Jaeger. Delta-Sigma Modulation for Direct Digital Frequency Synthesis
803 -- 814Rajarajan Senguttuvan, Soumendu Bhattacharya, Abhijit Chatterjee. Efficient EVM Testing of Wireless OFDM Transceivers Using Null Carriers
815 -- 826Ozgur Sinanoglu, Philip Schremmer. Scan Chain Hold-Time Violations: Can They be Tolerated?
827 -- 837Mohammad A. Makhzan, Amin Khajeh, Ahmed M. Eltawil, Fadi J. Kurdahi. A Low Power JPEG2000 Encoder With Iterative and Fault Tolerant Error Concealment
838 -- 843Yuan-Chun Lin, Youn-Long Lin. A Two-Result-per-Cycle Deblocking Filter Architecture for QFHD H.264/AVC Decoder
843 -- 847DiaaEldin Khalil, Debjit Sinha, Hai Zhou, Yehea I. Ismail. A Timing-Dependent Power Estimation Framework Considering Coupling
848 -- 852Hiroshi Sasaki, Masaaki Kondo, Hiroshi Nakamura. Energy-Efficient Dynamic Instruction Scheduling Logic Through Instruction Grouping

Volume 17, Issue 5

593 -- 603Yoonjin Kim, Rabi N. Mahapatra, Ilhyun Park, Kiyoung Choi. Low Power Reconfiguration Technique for Coarse-Grained Reconfigurable Architecture
604 -- 612Nitin Mohan, Manoj Sachdev. Low-Leakage Storage Cells for Ternary Content Addressable Memories
613 -- 626Pei-Yu Huang, Yu-Min Lee. Full-Chip Thermal Analysis for the Early Design Stage via Generalized Integral Transforms
627 -- 637Nathaniel J. Guilar, Travis Kleeburg, Albert Chen, Diego R. Yankelevich, Rajeevan Amirtharajah. Integrated Solar Energy Harvesting and Storage
638 -- 645Sherif A. Tawfik, Volkan Kursun. Low Power and High Speed Multi Threshold Voltage Interface Circuits
646 -- 659Changyun Zhu, Zhengyu Gu, Robert P. Dick, Li Shang, Robert G. Knobel. Characterization of Single-Electron Tunneling Transistors for Designing Low-Power Embedded Systems
660 -- 673Felipe Klein, Roberto Leao, Guido Araujo, Luiz C. V. dos Santos, Rodolfo Azevedo. A Multi-Model Engine for High-Level Power Estimation Accuracy Optimization
674 -- 687Glenn Leary, Krishnan Srinivasan, Krishna Mehta, Karam S. Chatha. Design of Network-on-Chip Architectures With a Genetic Algorithm-Based Technique
688 -- 696Min-Sheng Kao, Jen-Ming Wu, Chih-Hsing Lin, Fanta Chen, Ching-Te Chiu, Shawn S. H. Hsu. A 10-Gb/s CML I/O Circuit for Backplane Interconnection in 0.18- /mu m CMOS Technology
697 -- 708Loganathan Lingappan, Vijay Gangaram, Niraj K. Jha, Sreejit Chakravarty. Fast Enhancement of Validation Test Sets for Improving the Stuck-at Fault Coverage of RTL Circuits
709 -- 722Abdsamad Benkrid, Khaled Benkrid. Novel Area-Efficient FPGA Architectures for FIR Filtering With Symmetric Signal Extension
723 -- 727Che-Hua Shih, Juinn-Dar Huang, Jing-Yang Jou. Automatic Verification Stimulus Generation for Interface Protocols Modeled With Non-Deterministic Extended FSM
728 -- 732Mirko Loghi, Paolo Azzoni, Massimo Poncino. Tag Overflow Buffering: Reducing Total Memory Energy by Reduced-Tag Matching

Volume 17, Issue 4

453 -- 469Niraj K. Jha. Editorial Appointments for the 2009-2010 Term
470 -- 472Minsu Choi, Fabrizio Lombardi, Nohpill Park. Introduction to the Special Section on Nanocircuits and Systems
473 -- 486Helia Naeimi, André DeHon. Fault Secure Encoder and Decoder for NanoMemory Applications
487 -- 495Pinaki Mazumder, Sing-Rong Li, Idongesit E. Ebong. Tunneling-Based Cellular Nonlinear Network Architectures for Image Processing
496 -- 506William Rhett Davis, Eun Chu Oh, Ambarish M. Sule, Paul D. Franzon. Application Exploration for 3-D Integrated Circuits: TCAM, FIFO, and FFT Case Studies
507 -- 516Timothy J. Dysart, Peter M. Kogge. Analyzing the Inherent Reliability of Moderately Sized Magnetic and Electrostatic QCA Circuits Via Probabilistic Transfer Matrices
517 -- 528Kyung Ki Kim, Yong-Bin Kim. A Novel Adaptive Design Methodology for Minimum Leakage Power Considering PVT Variations on Nanoscale VLSI Systems
529 -- 540Jianwei Dai, Lei Wang, Faquir Jain. Analysis of Defect Tolerance in Molecular Crossbar Electronics
541 -- 550Pramod Kumar Meher. On Efficient Implementation of Accumulation in Finite Field Over GF(2:::m:::) and its Applications
551 -- 560Chunjie Duan, Victor H. Cordero Calle, Sunil P. Khatri. Efficient On-Chip Crosstalk Avoidance CODEC Design
561 -- 570Khaled Benkrid, Ying Liu, Abdsamad Benkrid. A Highly Parameterized and Efficient FPGA-Based Skeleton for Pairwise Biological Sequence Alignment
571 -- 577Hai Qi Liu, Wang Ling Goh, Liter Siek, Wei Meng Lim, Yue-Ping Zhang. A Low-Noise Multi-GHz CMOS Multiloop Ring Oscillator With Coarse and Fine Frequency Tuning
578 -- 582Hassan Hassan, Mohab Anis, Mohamed I. Elmasry. Total Power Modeling in FPGAs Under Spatial Correlation
582 -- 587Zhiqiang Cui, Zhongfeng Wang, Youjian Liu. High-Throughput Layered LDPC Decoding Architecture
587 -- 592Sudarshan Bahukudumbi, Sule Ozev, Krishnendu Chakrabarty, Vikram Iyengar. Wafer-Level Defect Screening for Big-D/Small-A Mixed-Signal SoCs

Volume 17, Issue 3

317 -- 318Avinoam Kolodny, Li-Shiuan Peh. Special Section on International Symposium on Networks-on-Chip (NOCS)
319 -- 329Arnab Banerjee, Pascal T. Wolkotte, Robert D. Mullins, Simon W. Moore, Gerard J. M. Smit. An Energy and Performance Exploration of Network-on-Chip Architectures
330 -- 341Ümit Y. Ogras, Radu Marculescu, Diana Marculescu, Eun-Gu Jung. Design and Management of Voltage-Frequency Island Partitioned Networks-on-Chip
342 -- 355Shan Yan, Bill Lin. Custom Networks-on-Chip Architectures With Multicast Routing
356 -- 369Andres Mejia, Maurizio Palesi, Jose Flich, Shashi Kumar, Pedro López, Rickard Holsmark, José Duato. Region-Based Routing: A Mechanism to Support Efficient Routing Algorithms in NoCs
370 -- 383Donghyun Kim, Kwanho Kim, Joo-Young Kim, Seungjin Lee, Se-Joong Lee, Hoi-Jun Yoo. 81.6 GOPS Object Recognition Processor Based on a Memory-Centric NoC
384 -- 388Sergio Tota, Mario R. Casu, Massimo Ruo Roch, Luca Macchiarulo, Maurizio Zamboni. A Case Study for NoC-Based Homogeneous MPSoC Architectures
389 -- 402Paul Pop, Viacheslav Izosimov, Petru Eles, Zebo Peng. Design Optimization of Time- and Cost-Constrained Fault-Tolerant Embedded Systems With Checkpointing and Replication
403 -- 416Yasuhiro Kobayashi, Masanori Hariyama, Michitaka Kameyama. Optimal Periodic Memory Allocation for Image Processing With Multiple Windows
417 -- 426Peng Zhang, Don Xie, Wen Gao. Variable-Bin-Rate CABAC Engine for H.264/AVC High Definition Real-Time Decoding
427 -- 438Puru Choudhary, Diana Marculescu. Power Management of Voltage/Frequency Island-Based Systems Using Hardware-Based Methods
439 -- 443Yang Liu, Tong Zhang, Jiang Hu. Design of Voltage Overscaled Low-Power Trellis Decoders in Presence of Process Variations
443 -- 447Yinan Kong, Braden Phillips. Fast Scaling in the Residue Number System
447 -- 451Jian Sun, David Giuliano, Siddharth Devarajan, Jian-Qiang Lu, T. Paul Chow, Ronald J. Gutmann. Fully Monolithic Cellular Buck Converter Design for 3-D Power Delivery

Volume 17, Issue 2

161 -- 171Francesco Menichelli, Mauro Olivieri. Static Minimization of Total Energy Consumption in Memory Subsystem for Scratchpad-Based Systems-on-Chips
172 -- 180A. D. Grasso, Pietro Monsurrò, Salvatore Pennisi, Giuseppe Scotti, Alessandro Trifiletti. Analysis and Implementation of a Minimum-Supply Body-Biased CMOS Differential Amplifier Cell
181 -- 193Jonathan Rosenfeld, Eby G. Friedman. Quasi-Resonant Interconnects: A Low Power, Low Latency Design Methodology
194 -- 206Koustav Bhattacharya, Nagarajan Ranganathan, Soontae Kim. A Framework for Correction of Multi-Bit Soft Errors in L2 Caches Based on Redundancy
207 -- 220Juan A. Carrasco, Víctor Suñé. An ROBDD-Based Combinatorial Method for the Evaluation of Yield of Defect-Tolerant Systems-on-Chip
221 -- 233Nikolaos Vassiliadis, George Theodoridis, Spiridon Nikolaidis. The ARISE Approach for Extending Embedded Processors With Arbitrary Hardware Accelerators
234 -- 247Sudarshan Banerjee, Elaheh Bozorgzadeh, Nikil D. Dutt. Exploiting Application Data-Parallelism on Dynamically Reconfigurable Architectures: Placement and Architectural Considerations
248 -- 261S. F. Nielsen, Jens Sparsø, Jan Madsen. Behavioral Synthesis of Asynchronous Circuits Using Syntax Directed Translation as Backend
262 -- 268Jin Sha, Zhongfeng Wang, Ming-Lun Gao, Li Li. Multi-Gb/s LDPC Code Design and Implementation
269 -- 277Suganth Paul, Nikhil Jayakumar, Sunil P. Khatri. A Fast Hardware Approach for Approximate, Efficient Logarithm and Antilogarithm Computations
278 -- 0Massimo Alioto, Gaetano Palumbo, Massimo Poli. Analysis and Modeling of Energy Consumption in RLC Tree Circuits
292 -- 301Jie Gu, Ramesh Harjani, Chris H. Kim. Design and Implementation of Active Decoupling Capacitor Circuits for Power Supply Regulation in Digital ICs
302 -- 306M. Mottaghi-Dastjerdi, Ali Afzali-Kusha, Massoud Pedram. BZ-FAD: A Low-Power Low-Area Multiplier Based on Shift-and-Add Architecture
306 -- 311Katherine Shu-Min Li, Chung-Len Lee, Chauchin Su, Jwu E. Chen. A Unified Detection Scheme for Crosstalk Effects in Interconnection Bus
311 -- 316Jose Carlos Garcia-Montesdeoca, Juan A. Montiel-Nelson, Saeid Nooshabadi. CMOS Driver-Receiver Pair for Low-Swing Signaling for Low Energy On-Chip Interconnects

Volume 17, Issue 12

1665 -- 1678Woosik Jeong, Ilkwon Kang, Kyowon Jin, Sungho Kang. A Fast Built-in Redundancy Analysis for Memories With Optimal Repair Rate Using a Line-Based Search Tree
1679 -- 1690Keith A. Bowman, Alaa R. Alameldeen, Srikanth T. Srinivasan, Chris Wilkerson. Impact of Die-to-Die and Within-Die Parameter Variations on the Clock Frequency and Throughput of Multi-Core Processors
1691 -- 1697Byeong Kil Lee, Lizy K. John. Hardware Acceleration for Media/Transaction Applications in Network Processors
1698 -- 1708Shu-Yu Jiang, Kuo-Hsing Cheng, Pei-Yi Jian. A 2.5-GHz Built-in Jitter Measurement System in a Serial-Link Transceiver
1709 -- 1718Chun Hok Ho, Chi Wai Yu, Philip Leong, Wayne Luk, Steven J. E. Wilton. Floating-Point FPGA: Architecture and Modeling
1719 -- 1729Andrea Ricci, Matteo Grisanti, Ilaria De Munari, Paolo Ciampolini. Improved Pervasive Sensing With RFID: An Ultra-Low Power Baseband Processor for UHF Tags
1730 -- 1741Sudarshan Bahukudumbi, Krishnendu Chakrabarty. Power Management Using Test-Pattern Ordering for Wafer-Level Test During Burn-In
1742 -- 1748Qingjin Du, Jingcheng Zhuang, Tad A. Kwasniewski. A Low-Power, Fast Acquisition, Data Recovery Circuit With Digital Threshold Decision for SFI-5 Application
1749 -- 1752Yiran Chen, Hai Li, Kaushik Roy, Cheng-Kok Koh. Gated Decap: Gate Leakage Control of On-Chip Decoupling Capacitors in Scaled Technologies

Volume 17, Issue 11

1565 -- 1578Jonghee W. Yoon, Aviral Shrivastava, Sanghyun Park, Minwook Ahn, Yunheung Paek. A Graph Drawing Based Spatial Mapping Algorithm for Coarse-Grained Reconfigurable Architectures
1579 -- 1592Cheng Jia, Linda Milor. A DLL Design for Testing I/O Setup and Hold Times
1593 -- 1601Zhengtao Yu 0002, Xun Liu. Implementing Multiphase Resonant Clocking on a Finite-Impulse Response Filter
1602 -- 1615Jiangli Zhu, Xinmiao Zhang, Zhongfeng Wang. Backward Interpolation Architecture for Algebraic Soft-Decision Reed-Solomon Decoding
1616 -- 1625Ming-Fu Sun, Ta-Yang Juan, Kan-Si Lin, Terng-Yin Hsu. Adaptive Frequency-Domain Channel Estimator in 4 , times , 4 MIMO-OFDM Modems
1626 -- 1639Amlan Ganguly, Partha Pratim Pande, Benjamin Belzer. Crosstalk-Aware Channel Coding Schemes for Energy Efficient and Reliable NOC Interconnects
1640 -- 1649Soumyaroop Roy, Nagarajan Ranganathan, Srinivas Katkoori. A Framework for Power-Gating Functional Units in Embedded Microprocessors
1650 -- 1654Simone Corbetta, Massimo Morandi, Marco Novati, Marco D. Santambrogio, Donatella Sciuto, Paola Spoletini. Internal and External Bitstream Relocation for Partial Dynamic Reconfiguration
1654 -- 1659Davide Appello, Paolo Bernardi, Michelangelo Grosso, Ernesto Sánchez, Matteo Sonza Reorda. Effective Diagnostic Pattern Generation Strategy for Transition-Delay Faults in Full-Scan SOCs
1659 -- 1663Lih-Yih Chiou, Shien-Chun Luo. Energy-Efficient Dual-Edge-Triggered Level Converting Flip Flops With Symmetry in Setup Times and Insensitivity to Output Parasitics

Volume 17, Issue 10

1369 -- 1382Chang-Hyo Yu, Kyusik Chung, Donghyun Kim, Seok-Hoon Kim, Lee-Sup Kim. A 186-Mvertices/s 161-mW Floating-Point Vertex Processor With Optimized Datapath and Vertex Caches
1383 -- 1391Amir Zjajo, José Pineda de Gyvez. Analog Automatic Test Pattern Generation for Quasi-Static Structural Test
1392 -- 1404Ozgur Sinanoglu, Sobeeh Almukhaizim. X-Align: Improving the Scan Cell Observability of Response Compactors
1405 -- 1418Emre Salman, Renatas Jakushokas, Eby G. Friedman, Radu M. Secareanu, Olin L. Hartin. Methodology for Efficient Substrate Noise Analysis in Large-Scale Mixed-Signal Circuits
1419 -- 1432Aydin O. Balkan, Gang Qu, Uzi Vishkin. Mesh-of-Trees and Alternative Interconnection Networks for Single-Chip Parallelism
1433 -- 1446Victor Dumitriu, Gul N. Khan. Throughput-Oriented NoC Topology Generation and Analysis for High Performance SoCs
1447 -- 1460Maryam Ashouei, Abhijit Chatterjee. Checksum-Based Probabilistic Transient-Error Compensation for Linear Digital Systems
1461 -- 1469Moo-young Kim, Dongsuk Shin, Hyunsoo Chae, Chulwoo Kim. A Low-Jitter Open-Loop All-Digital Clock Generator With Two-Cycle Lock-Time
1470 -- 1480Min Chen, Wei Zhao, Frank Liu, Yu Cao. Finite-Point-Based Transistor Model: A New Approach to Fast Circuit Simulation
1481 -- 1494Mingjie Lin, Abbas El Gamal. A Low-Power Field-Programmable Gate Array Routing Fabric
1495 -- 1507Duo Li, Sheldon X.-D. Tan, Eduardo H. Pacheco, Murli Tirumala. Architecture-Level Thermal Characterization for Multicore Microprocessors
1508 -- 1519David Bol, Renaud Ambroise, Denis Flandre, Jean-Didier Legat. Interests and Limitations of Technology Scaling for Subthreshold Logic
1520 -- 1533Sebastian Herbert, Diana Marculescu. Mitigating the Impact of Variability on Chip-Multiprocessor Power and Performance
1534 -- 1545Tezaswi Raja, Vishwani D. Agrawal, Michael L. Bushnell. Variable Input Delay CMOS Logic for Low Power Design
1546 -- 1550Ashkan Hosseinzadeh Namin, Huapeng Wu, Majid Ahmadi. A High-Speed Word Level Finite Field Multiplier in BBF::2:::m::::: Using Redundant Representation
1551 -- 1555G. Razavipour, Ali Afzali-Kusha, Massoud Pedram. Design and Analysis of Two Low-Power SRAM Cell Structures
1556 -- 1559Alexandre Ney, Patrick Girard, Serge Pravossoudovitch, Arnaud Virazel, Magali Bastian. Analysis of Resistive-Open Defects in SRAM Sense Amplifiers
1559 -- 1564Emre Salman, Eby G. Friedman, Radu M. Secareanu, Olin L. Hartin. Identification of Dominant Noise Source and Parameter Sensitivity for Substrate Coupling

Volume 17, Issue 1

1 -- 11Paul Zuber, Othman Bahlous, Thomas Ilnseher, Michael Ritter, Walter Stechele. Wire Topology Optimization for Low Power CMOS
12 -- 21Daniël Schinkel, Eisse Mensink, Eric A. M. Klumperink, Ed van Tuijl, Bram Nauta. Low-Power, High-Speed Transceivers for Network-on-Chip Communication
22 -- 32Jianli Zhuo, Chaitali Chakrabarti, Kyungsoo Lee, Naehyuck Chang, Sarma B. K. Vrudhula. Maximizing the Lifetime of Embedded Systems Powered by Fuel Cell-Battery Hybrids
33 -- 44Hamid Mahmoodi, Vishy Tirumalashetty, Matthew Cooke, Kaushik Roy. Ultra Low-Power Clocking Scheme Using Energy Recovery and Clock Gating
45 -- 54Irith Pomeranz, Sudhakar M. Reddy. Random Test Generation With Input Cube Avoidance
55 -- 65Thara Rejimon, Karthikeyan Lingasubramanian, Sanjukta Bhanja. Probabilistic Error Modeling for Nano-Domain Logic Circuits
66 -- 79Zhiyi Yu, Bevan M. Baas. High Performance, Energy Efficiency, and Scalability With GALS Chip Multiprocessors
80 -- 91Ann Gordon-Ross, Frank Vahid, Nikil D. Dutt. Fast Configurable-Cache Tuning With a Unified Second-Level Cache
92 -- 102Olivier Muller, Amer Baghdadi, Michel Jézéquel. From Parallelism Levels to a Multi-ASIP Architecture for Turbo Decoding
103 -- 116Dong-U Lee, Ray C. C. Cheung, Wayne Luk, John D. Villasenor. Hierarchical Segmentation for Hardware Function Evaluation
117 -- 127Hua Wang, Miguel Miranda, Wim Dehaene, Francky Catthoor. Design and Synthesis of Pareto Buffers Offering Large Range Runtime Energy/Delay Tradeoffs Via Combined Buffer Size and Supply Voltage Tuning
128 -- 136Jie Gu, John Keane, Chris H. Kim. Fuer Chris H. Kim 2 Eintraege in Db, Chris H. Kim und Chris Kim. Identisch. Siehe EE-Links: Univ. of Minnesota. Modeling, Analysis, and Application of Leakage Induced Damping Effect for Power Supply Integrity
137 -- 150Zhuo Feng, Peng Li. Performance-Oriented Parameter Dimension Reduction of VLSI Circuits
151 -- 155Andy Lambrechts, Praveen Raghavan, Murali Jayapala, Bingfeng Mei, Francky Catthoor, Diederik Verkest. Interconnect Exploration for Energy Versus Performance Tradeoffs for Coarse Grained Reconfigurable Architectures
156 -- 160Barbara Cerato, Guido Masera, Emanuele Viterbo. Decoding the Golden Code: A VLSI Design