Journal: IEEE Trans. VLSI Syst.

Volume 23, Issue 9

1577 -- 1590Bao Liu, Lu Wang. Dynamic Statistical-Timing-Analysis-Based VLSI Path Delay Test Pattern Generation
1591 -- 1603Ing-Chao Lin, Yi-Ming Yang, Cheng-Chian Lin. High-Performance Low-Power Carry Speculative Addition With Variable Latency
1604 -- 1615Joosung Yun, Sunggu Lee, Sungjoo Yoo. Dynamic Wear Leveling for Phase-Change Memories With Endurance Variations
1616 -- 1627Aoxiang Tang, Yang Yang, Chun-Yi Lee, Niraj K. Jha. McPAT-PVT: Delay and Power Modeling Framework for FinFET Processor Architectures Under PVT Variations
1628 -- 1639Hsuan-Ming Chou, Ming-Yi Hsiao, Yi-Chiao Chen, Keng-Hao Yang, Jean Tsao, Chiao-Ling Lung, Shih-Chieh Chang, Wen-Ben Jone, Tien-Fu Chen. Soft-Error-Tolerant Design Methodology for Balancing Performance, Power, and Reliability
1640 -- 1650Mengying Zhao, Alex Orailoglu, Chun Jason Xue. Joint Profit and Process Variation Aware High Level Synthesis With Speed Binning
1651 -- 1660Xian Tang, Wai Tung Ng, Kong-Pang Pun. A Resistor-Based Sub-1-V CMOS Smart Temperature Sensor for VLSI Thermal Management
1661 -- 1674Vasile Gheorghita Gaitan, Nicoleta-Cristina Gaitan, Ioan Ungurean. CPU Architecture Based on a Hardware Scheduler and Independent Pipeline Registers
1675 -- 1688Ernesto Sánchez, Matteo Sonza Reorda. On the Functional Test of Branch Prediction Units
1689 -- 1699Eun Ji Kim, Jea Hack Lee, Myung Hoon Sunwoo. Novel Shared Multiplier Scheduling Scheme for Area-Efficient FFT/IFFT Processors
1700 -- 1709Jianfeng Zhu, Leibo Liu, Shouyi Yin, Xiao Yang, Shaojun Wei. A Hybrid Reconfigurable Architecture and Design Methods Aiming at Control-Intensive Kernels
1710 -- 1719Abdalhossein Rezai, Parviz Keshavarzi. High-Throughput Modular Multiplication and Exponentiation Algorithms Using Multibit-Scan-Multibit-Shift Technique
1720 -- 1728Chih-Sheng Hou, Jin-Fu Li. High Repair-Efficiency BISR Scheme for RAMs by Reusing Bitmap for Bit Redundancy
1729 -- 1739Yanan Sun, Hailong Jiao, Volkan Kursun. A Novel Robust and Low-Leakage SRAM Cell With Nine Carbon Nanotube Transistors
1740 -- 1749Yen-Jen Chang, Tung-Chi Wu. Master-Slave Match Line Design for Low-Power Content-Addressable Memory
1750 -- 1759Ravi Patel, Shahar Kvatinsky, Eby G. Friedman, Avinoam Kolodny. Multistate Register Based on Resistive RAM
1760 -- 1771Cheng Zhuo, Gustavo R. Wilke, Ritochit Chakraborty, Alaeddin A. Aydiner, Sourav Chakravarty, Wei-Kai Shih. Silicon-Validated Power Delivery Modeling and Analysis on a 32-nm DDR I/O Interface
1772 -- 1782Sumit Jagdish Darak, Jacques Palicot, Honggang Zhang, A. Prasad Vinod, Christophe Moy. Reconfigurable Filter Bank With Complete Control Over Subband Bandwidths for Multistandard Wireless Communication Receivers
1783 -- 1792Jesus Omar Lacruz, Francisco Garcia-Herrero, David Declercq, Javier Valls. Simplified Trellis Min-Max Decoder Architecture for Nonbinary Low-Density Parity-Check Codes
1793 -- 1800Chu Yu, Mao-Hsu Yen. Area-Efficient 128- to 2048/1536-Point Pipeline FFT Processor for LTE and Mobile WiMAX Systems
1801 -- 1807San-fu Wang. Low-Voltage, Full-Swing Voltage-Controlled Oscillator With Symmetrical Even-Phase Outputs Based on Single-Ended Delay Cells
1808 -- 1813Sheng-Lyang Jang, Sanjeev Jain. Dual C- and S-Band CMOS VCO Using the Shunt Varactor Switch
1814 -- 1827Songting Li, Jiancheng Li, Xiaochen Gu, Hongyi Wang, Cong Li, Jianfei Wu, Ming-Hua Tang. Reconfigurable All-Band RF CMOS Transceiver for GPS/GLONASS/Galileo/Beidou With Digitally Assisted Calibration
1828 -- 1841Kyungsu Kang, Luca Benini, Giovanni De Micheli. Cost-Effective Design of Mesh-of-Tree Interconnect for Multicore Clusters With 3-D Stacked L2 Scratchpad Memory
1842 -- 1853Wulong Liu, Yu Wang, Guoqing Chen, Yuchun Ma, Yuan Xie, Huazhong Yang. Whitespace-Aware TSV Arrangement in 3-D Clock Tree Synthesis
1854 -- 1867Zhiliang Qian, Syed Mohsin Abbas, Chi-Ying Tsui. FSNoC: A Flit-Level Speedup Scheme for Network on-Chips Using Self-Reconfigurable Bidirectional Channels
1868 -- 1878Sadegh Yazdanshenas, Hossein Asadi, Behnam Khaleghi. A Scalable Dependability Scheme for Routing Fabric of SRAM-Based Reconfigurable Devices
1879 -- 1888Amirreza Baghbanbehrouzian, Nasser Masoumi. Analytical Solutions for Distributed Interconnect Models - Part II: Arbitrary Input Response and Multicoupled Lines
1889 -- 1902Qi Yang, Xiaoting Hu, Zhongping Qin. Secure Systolic Montgomery Modular Multiplier Over Prime Fields Resilient to Fault-Injection Attacks
1903 -- 1913Qingyu Ou, Fang Luo, Shilei Li, Lu Chen. Circuit Level Defences Against Fault Attacks in Pipelined NCL Circuits
1914 -- 1919Mohammad Taherzadeh-Sani, Frederic Nabki. A 350-MS/s Continuous-Time Delta-Sigma Modulator With a Digitally Assisted Binary-DAC and a 5-Bits Two-Step-ADC Quantizer in 130-nm CMOS
1920 -- 1930Chang-Joon Park, Marvin Onabajo, Hemasundar Mohan Geddada, Aydin Ilker Karsilayan, José Silva-Martínez. Efficient Broadband Current-Mode Adder- Quantizer Design for Continuous-Time Sigma-Delta Modulators
1931 -- 1935JunLin Chen, Jun-Hong Cui, Lei Wang. RF Power Management via Energy-Adaptive Modulation for Self-Powered Systems
1936 -- 1940Irith Pomeranz. Static Test Compaction for Low-Power Test Sets by Increasing the Switching Activity
1941 -- 1945Georgi I. Radulov, Patrick J. Quinn, Arthur H. M. van Roermund. A 28-nm CMOS 7-GS/s 6-bit DAC With DfT Clock and Memory Reaching SFDR >50 dB Up to 1 GHz
1946 -- 1950Baker Mohammad. Embedded Memory Interface Logic and Interconnect Testing
1951 -- 1955Peyman Pouyan, Esteve Amat, Antonio Rubio. Adaptive Proactive Reconfiguration: A Technique for Process-Variability- and Aging-Aware SRAM Cache Design
1956 -- 1960Xian Li, Huicai Zhong, Zhenhui Tang, Cheng Jia. Reliable Antifuse One-Time-Programmable Scheme With Charge Pump for Postpackage Repair of DRAM
1961 -- 1964Anvesha Amaravati, Marshnil Vipin Dave, Maryam Shojaei Baghini, Dinesh Kumar Sharma. A Fully On-Chip PT-Invariant Transconductor
1965 -- 1968Satyabrata Sarangi, Swapna Banerjee. Efficient Hardware Implementation of Encoder and Decoder for Golay Code
1969 -- 1972Reza Azarderakhsh, Mehran Mozaffari Kermani, Siavash Bayat Sarmadi, Chiou-Yng Lee. Systolic Gaussian Normal Basis Multiplier Architectures Suitable for High-Performance Applications

Volume 23, Issue 8

1381 -- 1389Matthew A. Morrison, Nagarajan Ranganathan, Jay Ligatti. Design of Adiabatic Dynamic Differential Logic for DPA-Resistant Secure Integrated Circuits
1390 -- 1401Wenfeng Zhao, Yajun Ha, Massimo Alioto. Novel Self-Body-Biasing and Statistical Design for Near-Threshold Circuits With Ultra Energy-Efficient AES as Case Study
1402 -- 1414Qiang Liu, Terrence S. T. Mak, Tao Zhang, Xinyu Niu, Wayne Luk, Alex Yakovlev. Power-Adaptive Computing System Design for Solar-Energy-Powered Embedded Systems
1415 -- 1428Nobutaro Shibata, Yoshinori Gotoh. High-Density RAM/ROM Macros Using CMOS Gate-Array Base Cells: Hierarchical Verification Technique for Reducing Design Cost
1429 -- 1438Sho Endo, Yang Li, Naofumi Homma, Kazuo Sakiyama, Kazuo Ohta, Daisuke Fujimoto, Makoto Nagata, Toshihiro Katashita, Jean-Luc Danger, Takafumi Aoki. A Silicon-Level Countermeasure Against Fault Sensitivity Analysis and Its Evaluation
1439 -- 1447Taewoo Han, Inhyuk Choi, Sungho Kang. Majority-Based Test Access Mechanism for Parallel Testing of Multiple Identical Cores
1448 -- 1458Mahesh Poolakkaparambil, Jimson Mathew, Abusaleh M. Jabir, Dhiraj K. Pradhan. m)
1459 -- 1470Zhuo Wang, Kyong-Ho Lee, Naveen Verma. Overcoming Computational Errors in Sensing Platforms Through Embedded Machine-Learning Kernels
1471 -- 1484Qian Wang, Peng Li, Yongtae Kim. A Parallel Digital VLSI Architecture for Integrated Support Vector Machine Training and Classification
1485 -- 1498Erfan Azarkhish, Davide Rossi, Igor Loi, Luca Benini. A Modular Shared L2 Memory Design for 3-D Integration
1499 -- 1507Brad D. Gaynor, Soha Hassoun. Simulation Methodology and Evaluation of Through Silicon Via (TSV)-FinFET Noise Coupling in 3-D Integrated Circuits
1508 -- 1517Young-Jae An, Dong-Hoon Jung, Kyungho Ryu, Seung-Han Woo, Seong-Ook Jung. An Energy-Efficient All-Digital Time-Domain-Based CMOS Temperature Sensor for SoC Thermal Management
1518 -- 1527James Lin, Ibuki Mano, Masaya Miyahara, Akira Matsuzawa. Ultralow-Voltage High-Speed Flash ADC Design Strategy Based on FoM-Delay Product
1528 -- 1533Horng-Yuan Shih, Sheng-Kai Lin, Po-Shun Liao. An 80× Analog-Implemented Time-Difference Amplifier for Delay-Line-Based Coarse-Fine Time-to-Digital Converters in 0.18-µm CMOS
1534 -- 1546Archit Joshi. Period Jitter of Frequency-Locked Loops
1547 -- 1551Domenico Albano, Felice Crupi, Francesca Cucchi, Giuseppe Iannaccone. A Sub-kT/q Voltage Reference Operating at 150 mV
1552 -- 1556Tuck Boon Chan, Andrew B. Kahng, Jiajia Li, Siddhartha Nath, Bongil Park. Optimization of Overdrive Signoff in High-Performance and Low-Power ICs
1557 -- 1561Mohammad Kafi Kangi, Mohammad Maymandi-Nejad, Mahshid Nasserian. A Fully Digital ASK Demodulator With Digital Calibration for Bioimplantable Devices
1562 -- 1566Jie Han, Eugene Leung, Leibo Liu, Fabrizio Lombardi. A Fault-Tolerant Technique Using Quadded Logic and Quadded Transistors
1567 -- 1571Yi Zhao, S. Saqib Khursheed, Bashir M. Al-Hashimi. Online Fault Tolerance Technique for TSV-Based 3-D-IC
1572 -- 1576Trinidad Sanchez-Rodriguez, Juan Antonio Gómez Galán, Ramón González Carvajal, Manuel Sanchez-Raya, Fernando Muñoz, Jaime Ramírez-Angulo. m-C Bluetooth Channel Filter Using a Novel Gain-Boosted Tunable Transconductor

Volume 23, Issue 7

1185 -- 1195Subhadip Kundu, Santanu Chattopadhyay, Indranil Sengupta, Rohit Kapur. Scan Chain Masking for Diagnosis of Multiple Chain Failures in a Space Compaction Environment
1196 -- 1209Na Gong, Jinhui Wang, Shixiong Jiang, Ramalingam Sridhar. TM-RF: Aging-Aware Power-Efficient Register File Design for Modern Microprocessors
1210 -- 1220Mac Y. C. Kao, Kun-Ting Tsai, Shih-Chieh Chang. A Fault Detection and Tolerance Architecture for Post-Silicon Skew Tuning
1221 -- 1234Chia-Chun Lin, Susmita Sur-Kolay, Niraj K. Jha. PAQCS: Physical Design-Aware Fault-Tolerant Quantum Circuit Synthesis
1235 -- 1244Chi-Heng Yang, Yi-Min Lin, Hsie-Chia Chang, Chen-Yi Lee. An MPCN-Based BCH Codec Architecture With Arbitrary Error Correcting Capability
1245 -- 1253Jian Yao, Zuochang Ye, Yan Wang. An Efficient SRAM Yield Analysis and Optimization Method With Adaptive Online Surrogate Modeling
1254 -- 1267Norman P. Jouppi, Andrew B. Kahng, Naveen Muralimanohar, Vaishnav Srinivas. CACTI-IO: CACTI With OFF-Chip Power-Area-Timing Models
1268 -- 1280Gholamreza Shomalnasab, Lihong Zhang. New Analytic Model of Coupling and Substrate Capacitance in Nanometer Technologies
1281 -- 1286Tak-Jun Oh, In-Chul Hwang. A 110-nm CMOS 0.7-V Input Transient-Enhanced Digital Low-Dropout Regulator With 99.98% Current Efficiency at 80-mA Load
1287 -- 1300Nuno Neves, Nuno Sebastião, David Martins de Matos, Pedro Tomás, Paulo F. Flores, Nuno Roma. Multicore SIMD ASIP for Next-Generation Sequencing and Alignment Biochip Platforms
1301 -- 1307Taimur Gibran Rabuske, Fabio Alex Rabuske, Jorge R. Fernandes, Cesar Ramos Rodrigues. An 8-bit 0.35-V 5.04-fJ/Conversion-Step SAR ADC With Background Self-Calibration of Comparator Offset
1308 -- 1321Sravan K. Marella, Sachin S. Sapatnekar. A Holistic Analysis of Circuit Performance Variations in 3-D ICs With Thermal and TSV-Induced Stress Considerations
1322 -- 1334Umamaheswara Rao Tida, Rongbo Yang, Cheng Zhuo, Yiyu Shi. On the Efficacy of Through-Silicon-Via Inductors
1335 -- 1344Liming Xiu. Direct Period Synthesis for Achieving Sub-PPM Frequency Resolution Through Time Average Frequency: The Principle, The Experimental Demonstration, and Its Application in Digital Communication
1345 -- 1349Antonio Jose Ginés, Eduardo J. Peralías, Adoración Rueda. Background Digital Calibration of Comparator Offsets in Pipeline ADCs
1350 -- 1354Xiaokun Yang, Jean H. Andrian. A High-Performance On-Chip Bus (MSBUS) Design and Verification
1355 -- 1359Jia-Ching Wang, Li-Xun Lian, Yan-Yu Lin, Jia Hao Zhao. VLSI Design for SVM-Based Speaker Verification System
1360 -- 1364Tony Tae-Hyoung Kim, Pong-Fei Lu, Keith A. Jenkins, Chris H. Kim. A Ring-Oscillator-Based Reliability Monitor for Isolated Measurement of NBTI and PBTI in High-k/Metal Gate Technology
1365 -- 1369Xiaoyang Zeng, Yi Li, Yuejun Zhang, Shujie Tan, Jun Han, Xingxing Zhang, Zhang Zhang, Xu Cheng, Jun Han, Zhiyi Yu. Design and Analysis of Highly Energy/Area-Efficient Multiported Register Files With Read Word-Line Sharing Strategy in 65-nm CMOS Process
1370 -- 1374Hanwool Jeong, Taewon Kim, Taejoong Song, Gyu-Hong Kim, Seong-Ook Jung. Trip-Point Bit-Line Precharge Sensing Scheme for Single-Ended SRAM
1375 -- 1379Jintae Kim, MinJae Lee. A Semiblind Digital-Domain Calibration of Pipelined A/D Converters via Convex Optimization
1380 -- 0Rajiv V. Joshi, Rouwaida Kanj. Corrections to "Super Fast Physics-Based Methodology for Accurate Memory Yield Prediction"

Volume 23, Issue 6

993 -- 1004Josep Rius. Supply Noise and Impedance of On-Chip Power Distribution Networks in ICs With Nonuniform Power Consumption and Interblock Decoupling Capacitors
1005 -- 1016Somnath Paul, Aswin Raghav Krishna, Wenchao Qian, Robert Karam, Swarup Bhunia. MAHA: An Energy-Efficient Malleable Hardware Accelerator for Data-Intensive Applications
1017 -- 1030Ali Mirtar, Sujit Dey, Anand Raghunathan. Joint Work and Voltage/Frequency Scaling for Quality-Optimized Dynamic Thermal Management
1031 -- 1039Daniele Rossi, Martin Omaña, Daniele Giaffreda, Cecilia Metra. Modeling and Detection of Hotspot in Shaded Photovoltaic Cells
1040 -- 1049Salomon Beer, Ran Ginosar. Eleven Ways to Boost Your Synchronizer
1050 -- 1062Wu-Tung Cheng, Yan Dong, Grady Gilles, Yu Huang, Jakub Janicki, Mark Kassab, Grzegorz Mrugalski, Nilanjan Mukherjee, Janusz Rajski, Jerzy Tyszer. Scan Test Bandwidth Management for Ultralarge-Scale System-on-Chip Architectures
1063 -- 1076Michal Filipek, Grzegorz Mrugalski, Nilanjan Mukherjee, Benoit Nadeau-Dostie, Janusz Rajski, Jedrzej Solecki, Jerzy Tyszer. Low-Power Programmable PRPG With Test Compression Capabilities
1077 -- 1088Marcelo Ruaro, Everton Alceu Carara, Fernando Gehm Moraes. Runtime Adaptive Circuit Switching and Flow Priority in NoC-Based MPSoCs
1089 -- 1102Nobutaro Shibata, Yusuke Ohtomo, Mika Nishisaka, Yasuhiro Sato. DD CMOS/SIMOX Techniques
1103 -- 1110Byung Geun Lee. Power and Bandwidth Scalable 10-b 30-MS/s SAR ADC
1111 -- 1122Mahdi Parvizi, Karim Allidina, Mourad N. El-Gamal. A Sub-mW, Ultra-Low-Voltage, Wideband Low-Noise Amplifier Design Technique
1123 -- 1136Domenico Zito, Domenico Pepe, Alessandro Fonte. High-Frequency CMOS Active Inductor: Design Methodology and Noise Analysis
1137 -- 1144To-Po Wang, Shih-Yu Wang. Frequency-Tuning Negative-Conductance Boosted Structure and Applications for Low-Voltage Low-Power Wide-Tuning-Range VCO
1145 -- 1149Kiichi Niitsu, Yusuke Osawa, Naohiro Harigai, Daiki Hirabayashi, Osamu Kobayashi, Takahiro J. Yamaguchi, Haruo Kobayashi. A CMOS PWM Transceiver Using Self-Referenced Edge Detection
1150 -- 1154Indranil Hatai, Indrajit Chakrabarti, Swapna Banerjee. An Efficient VLSI Architecture of a Reconfigurable Pulse-Shaping FIR Interpolation
1155 -- 1159Yuh-Shyan Hwang, An Liu, Yi-Tsen Ku, Yuan-Bo Chang, Jiann-Jong Chen. A Fast Transient Response Flying-Capacitor Buck-Boost Converter Utilizing Pseudocurrent Dynamic Acceleration Techniques
1160 -- 1164Ke Chen, Jie Han, Fabrizio Lombardi. On the Nonvolatile Performance of Flip-Flop/SRAM Cells With a Single MTJ
1165 -- 1169Jiangpeng Li, Kai Zhao, Jun Ma, Tong Zhang 0002. True-Damage-Aware Enumerative Coding for Improving nand Flash Memory Endurance
1170 -- 1174Yaojun Zhang, Yong Li, Zhenyu Sun, Hai Li, Yiran Chen, Alex K. Jones. Read Performance: The Newest Barrier in Scaled STT-RAM
1175 -- 1179Chia-Ling Lynn Chang, Charles H.-P. Wen. Demystifying Iddq Data With Process Variation for Automatic Chip Classification
1180 -- 1184Srinivasan Narayanamoorthy, Hadi Asghari Moghaddam, Zhenhong Liu, Taejoon Park, Nam Sung Kim. Energy-Efficient Approximate Multiplication for Digital Signal Processing and Classification Applications

Volume 23, Issue 5

801 -- 809Debasri Saha, Susmita Sur-Kolay. Watermarking in Hard Intellectual Property for Pre-Fab and Post-Fab Verification
810 -- 818Sujoy Sinha Roy, Junfeng Fan, Ingrid Verbauwhede. Accelerating Scalar Conversion for Koblitz Curve Cryptoprocessors on Hardware Platforms
819 -- 830Yingjie Lao, Keshab K. Parhi. Obfuscating DSP Circuits via High-Level Transformations
831 -- 841Yu Zheng, Xinmu Wang, Swarup Bhunia. SACCI: Scan-Based Characterization Through Clock Phase Sweep for Counterfeit Chip Detection
842 -- 855Jamshaid Sarwar Malik, Ahmed Hemani, Jameel Nawaz Malik, Ben Slimane, Nasirud Din Gohar. Revisiting Central Limit Theorem: Accurate Gaussian Random Number Generation in VLSI
856 -- 868Yi-Ming Wang, Shih-Nung Wei. Range Unlimited Delay-Interleaving and -Recycling Clock Skew Compensation and Duty-Cycle Correction Circuit
869 -- 878Xiang Qiu, Malgorzata Marek-Sadowska, Wojciech P. Maly. Three-Dimensional Chips Can Be Cool: Thermal Study of VeSFET-Based 3-D Chips
879 -- 892Ying Wang, Lei Zhang, Yinhe Han, Huawei Li, Xiaowei Li. Data Remapping for Static NUCA in Degradable Chip Multiprocessors
893 -- 904Gabriel Luca Nazar, Leonardo Pereira Santos, Luigi Carro. Fine-Grained Fast Field-Programmable Gate Array Scrubbing
905 -- 915Lijun Wu, Huijia Huang, Kaile Su, Shaowei Cai, Xiaosong Zhang. An I/O Efficient Model Checking Algorithm for Large-Scale Systems
916 -- 925Shankar Thirunakkarasu, Bertan Bakkaloglu. Built-in Self-Calibration and Digital-Trim Technique for 14-Bit SAR ADCs Achieving ±1 LSB INL
926 -- 934Luis H. C. Ferreira, Sameer R. Sonkusale. A 0.25-V 28-nW 58-dB Dynamic Range Asynchronous Delta Sigma Modulator in 130-nm Digital CMOS Process
935 -- 943Yuh-Shyan Hwang, Yi-Tsen Ku, An Liu, Chia-Hsuan Chen, Jiann-Jong Chen. A New Efficiency-Improvement Low-Ripple Charge-Pump Boost Converter Using Adaptive Slope Generator With Hysteresis Voltage Comparison Techniques
944 -- 957Yun Yin, Baoyong Chi, ZhiGang Sun, Xinwang Zhang, Zhihua Wang. A 0.1-6.0-GHz Dual-Path SDR Transmitter Supporting Intraband Carrier Aggregation in 65-nm CMOS
958 -- 962Chien-Yu Lu, Ching-Te Chuang, Shyh-Jye Jou, Ming-Hsien Tu, Ya-Ping Wu, Chung-Ping Huang, Paul-Sen Kan, Huan-Shun Huang, Kuen-Di Lee, Yung-Shin Kao. A 0.325 V, 600-kHz, 40-nm 72-kb 9T Subthreshold SRAM with Aligned Boosted Write Wordline and Negative Write Bitline Write-Assist
963 -- 967Debajit Bhattacharya, Ajay N. Bhoj, Niraj K. Jha. Design of Efficient Content Addressable Memories in High-Performance FinFET Technology
968 -- 972Pedro Reviriego, Salvatore Pontarelli, Adrian Evans, Juan Antonio Maestro. A Class of SEC-DED-DAEC Codes Derived From Orthogonal Latin Square Codes
973 -- 977Ze-ke Wang, Xue Liu, Bingsheng He, Feng Yu. A Combined SDC-SDF Architecture for Normal I/O Pipelined Radix-2 FFT
978 -- 982Yong Chen, Pui-In Mak, Yan Wang. A Highly-Scalable Analog Equalizer Using a Tunable and Current-Reusable for 10-Gb/s I/O Links
983 -- 987Ching-Che Chung, Duo Sheng, Wei-Da Ho. A Low-Cost Low-Power All-Digital Spread-Spectrum Clock Generator
988 -- 992Young-Ju Kim, Sang-Hye Chung, Lee-Sup Kim. A Forwarded Clock Receiver Based on Injection-Locked Oscillator With AC-Coupled Clock Multiplication Unit in 0.13~µm CMOS

Volume 23, Issue 4

609 -- 618Supriya Karmakar, John A. Chandy, Faquir C. Jain. Unipolar Logic Gates Based on Spatial Wave-Function Switched FETs
619 -- 630Zhengfan Xia, Masanori Hariyama, Michitaka Kameyama. Asynchronous Domino Logic Pipeline Design Based on Constructed Critical Data Path
631 -- 641Abhishek Ambede, Smitha K. G., A. Prasad Vinod. Flexible Low Complexity Uniform and Nonuniform Digital Filter Banks With High Frequency Resolution for Multistandard Radios
642 -- 653Hooman Jarollahi, Vincent Gripon, Naoya Onizawa, Warren J. Gross. Algorithm and Architecture for a Low-Power Content-Addressable Memory Based on Sparse Clustered Networks
654 -- 663Jingtong Hu, Mimi Xie, Chen Pan, Chun Jason Xue, Qingfeng Zhuge, Edwin Hsing-Mean Sha. Low Overhead Software Wear Leveling for Hybrid PCM + DRAM Main Memory on Embedded Systems
664 -- 677Guoyue Jiang, Zhaolin Li, Fang Wang, Shaojun Wei. A Low-Latency and Low-Power Hybrid Scheme for On-Chip Networks
678 -- 691Xiaowen Wu, Jiang Xu, Yaoyao Ye, Xuan Wang, Mahdi Nikdast, Zhehui Wang, Zhe Wang. An Inter/Intra-Chip Optical Network for Manycore Processors
692 -- 701Jun Han, Yang Li, Zhiyi Yu, Xiaoyang Zeng. A 65 nm Cryptographic Processor for High Speed Pairing Computation
702 -- 711Moshe Avital, Hadar Dagan, Osnat Keren, Alexander Fish. Randomized Multitopology Logic Against Differential Power Analysis
712 -- 722Hoi Lee, Zhe Hua, Xiwen Zhang. A Reconfigurable 2×2.5×3×4× SC DC-DC Regulator With Fixed On-Time Control for Transcutaneous Power Transmission
723 -- 730Ruzica Jevtic, Hanh-Phuc Le, Milovan Blagojevic, Stevo Bailey, Krste Asanovic, Elad Alon, Borivoje Nikolic. Per-Core DVFS With Switched-Capacitor Converters for Energy Efficiency in Manycore Processors
731 -- 742Hao Liang, Wei Zhang 0002, Jiale Huang, Shengqi Yang, Pallav Gupta. Leveraging Hotspots and Improving Chip Reliability via Carbon Nanotube Grid Thermal Structure
743 -- 751Daniele Rossi, Martin Omaña, Cecilia Metra, Alessandro Paccagnella. Impact of Bias Temperature Instability on Soft Error Susceptibility
752 -- 765Heechai Kang, Jisu Kim, Hanwool Jeong, Younghwi Yang, Seong-Ook Jung. Architecture-Aware Analytical Yield Model for Read Access in Static Random Access Memory
766 -- 770Ming-Chiuan Su, Shyh-Jye Jou, Wei-Zen Chen. A Low-Jitter Cell-Based Digitally Controlled Oscillator With Differential Multiphase Outputs
771 -- 775Bo Zhao, Huazhong Yang. Supply-Noise Interactions Among Submodules Inside a Charge-Pump PLL
776 -- 780Fareena Saqib, Dylan Ismari, Charles Lamech, Jim Plusquellic. Within-Die Delay Variation Measurement and Power Transient Analysis Using REBEL
781 -- 785R. R. Manikandan, Abhishek Kumar, Bharadwaj Amrutur. A Digital Frequency Multiplication Technique for Energy Efficient Transmitters
786 -- 790Zhentao Xu, Wei Wang, Ning Ning, Wei Meng Lim, Yang Liu, Qi Yu. A Supply Voltage and Temperature Variation-Tolerant Relaxation Oscillator for Biomedical Systems Based on Dynamic Threshold and Switched Resistors
791 -- 795Jung-Mao Lin, Ching-Yuan Yang, Hsin-Ming Wu. A 2.5-Gb/s DLL-Based Burst-Mode Clock and Data Recovery Circuit With 4× Oversampling
796 -- 800Sagar Venkatesh Gubbi, Bharadwaj Amrutur. All Digital Energy Sensing for Minimum Energy Tracking

Volume 23, Issue 3

413 -- 421Jung-Hyun Park, Heechai Kang, Dong-Hoon Jung, Kyungho Ryu, Seong-Ook Jung. Level-Converting Retention Flip-Flop for Reducing Standby Power in ZigBee SoCs
422 -- 434Yuki Okamoto, Takashi Nakagawa, Takeshi Aoki, Masataka Ikeda, Munehiro Kozuma, Takeshi Osada, Yoshiyuki Kurokawa, Takayuki Ikeda, Naoto Yamade, Yutaka Okazaki, Hidekazu Miyairi, Masahiro Fujita, Jun Koyama, Shunpei Yamazaki. A Boosting Pass Gate With Improved Switching Characteristics and No Overdriving for Programmable Routing Switch Based on Crystalline In-Ga-Zn-O Technology
435 -- 443Martin Omaña, Daniele Rossi, Daniele Giaffreda, Cecilia Metra, T. M. Mak, Asifur Rahman, Simon Tam. Low-Cost On-Chip Clock Jitter Measurement Scheme
444 -- 453Xuan-Dien Do, Huy-Hieu Nguyen, Seok-Kyun Han, Dong Sam Ha, Sang-Gug Lee. A Self-Powered High-Efficiency Rectifier With Automatic Resetting of Transducer Capacitance in Piezoelectric Energy Harvesting Systems
454 -- 465Soydan Redif, Server Kasap. Novel Reconfigurable Hardware Architecture for Polynomial Matrix Multiplications
466 -- 479Jing Ye, Yu Huang, Yu Hu, Wu-Tung Cheng, Ruifeng Guo, Liyang Lai, Ting-Pu Tai, Xiaowei Li 0001, Wei-pin Changchien, Daw-Ming Lee, Ji-Jan Chen, Sandeep C. Eruvathi, Kartik K. Kumara, Charles C. C. Liu, Sam Pan. Diagnosis and Layout Aware (DLA) Scan Chain Stitching
480 -- 492Xuexin Liu, Hao Yu, Sheldon X.-D. Tan. A GPU-Accelerated Parallel Shooting Algorithm for Analysis of Radio Frequency and Microwave Integrated Circuits
493 -- 506Ying Wang, Yinhe Han, Lei Zhang, Binzhang Fu, Cheng Liu, Huawei Li, Xiaowei Li 0001. Economizing TSV Resources in 3-D Network-on-Chip Design
507 -- 519Dimitrios Rodopoulos, Antonis Papanikolaou, Francky Catthoor, Dimitrios Soudris. Demonstrating HW-SW Transient Error Mitigation on the Single-Chip Cloud Computer Data Plane
520 -- 533Seunghan Lee, Kyungsu Kang, Chong-Min Kyung. Runtime Thermal Management for 3-D Chip-Multiprocessors With Hybrid SRAM/MRAM L2 Cache
534 -- 543Rajiv V. Joshi, Keunwoo Kim, Rouwaida Kanj, Ajay N. Bhoj, Matthew M. Ziegler, Phil Oldiges, Pranita Kerber, Robert Wong, Terence Hook, Sudesh Saroop, Carl Radens, Chun-Chen Yeh. Super Fast Physics-Based Methodology for Accurate Memory Yield Prediction
544 -- 556Ing-Chao Lin, Yu-Hung Cho, Yi-Ming Yang. Aging-Aware Reliable Multiplier Design With Adaptive Hold Logic
557 -- 566Yung-Hui Chung, Jieh-Tsorng Wu. A 16-mW 8-Bit 1-GS/s Digital-Subranging ADC in 55-nm CMOS
567 -- 574Chia-Yu Yao, Yung-Hsiang Ho, Yi-Yao Chiu, Rong-Jyi Yang. Designing a SAR-Based All-Digital Delay-Locked Loop With Constant Acquisition Cycles Using a Resettable Delay Line
575 -- 579Xuexin Liu, Kuangya Zhai, Zao Liu, Kai He, Sheldon X.-D. Tan, Wenjian Yu. Parallel Thermal Analysis of 3-D Integrated Circuits With Liquid Cooling on CPU-GPU Platforms
580 -- 583Mohamed Tagelsir Mohammadat, Noohul Basheer Zain Ali, Fawnizu Azmadi Hussin, Mark Zwolinski. Resistive Open Faults Detectability Analysis and Implications for Testing Low Power Nanometric ICs
584 -- 587Pedro Reviriego, Salvatore Pontarelli, Juan Antonio Maestro, Marco Ottavi. A Synergetic Use of Bloom Filters for Error Detection and Correction
588 -- 592Yong Hun Kim, Young-Ju Kim, Tae-Ho Lee, Lee-Sup Kim. An 11.5 Gb/s 1/4th Baud-Rate CTLE and Two-Tap DFE With Boosted High Frequency Gain in 110-nm CMOS
593 -- 597Irith Pomeranz. Skewed-Load Test Cubes Based on Functional Broadside Tests for a Low-Power Test Set
598 -- 602Eric P. Kim, Daniel J. Baker, Sriram Narayanan, Naresh R. Shanbhag, Douglas L. Jones. A 3.6-mW 50-MHz PN Code Acquisition Filter via Statistical Error Compensation in 180-nm CMOS
603 -- 607Pedro Miguens Matutino, Ricardo Chaves, Leonel Sousa. n±k} for jn-bit Dynamic Range

Volume 23, Issue 2

221 -- 229Jienan Chen, JianHao Hu, Shuyang Lee, Gerald E. Sobelman. Hardware Efficient Mixed Radix-25/16/9 FFT for LTE Systems
230 -- 243Chung-Hsien Chang, Bo-Wei Chen, Shi-Huang Chen, Jhing-Fa Wang, Yu-Hao Chiu. Low-Complexity Hardware Design for Fast Solving LSPs With Coordinated Polynomial Solution
244 -- 253Chih-Lin Chen, Deng-Shian Wang, Jie-Jyun Li, Chua-Chin Wang. A Voltage Monitoring IC With HV Multiplexer and HV Transceiver for Battery Management Systems
254 -- 265Jeongkyu Hong, Jesung Kim, Soontae Kim. Exploiting Same Tag Bits to Improve the Reliability of the Cache Memories
266 -- 279Xuan Wang, Jiang Xu, Wei Zhang, Xiaowen Wu, Yaoyao Ye, Zhehui Wang, Mahdi Nikdast, Zhe Wang. Actively Alleviate Power Gating-Induced Power/Ground Noise Using Parasitic Capacitance of On-Chip Memories in MPSoC
280 -- 291Xiaofei Wang, Qianying Tang, Pulkit Jain, Dong Jiao, Chris H. Kim. The Dependence of BTI and HCI-Induced Frequency Degradation on Interconnect Length and Its Circuit Level Implications
292 -- 305James Sebastian Guido, Alexandre Yakovlev. Design of Self-Timed Reconfigurable Controllers for Parallel Synchronization via Wagging
306 -- 316Diogo Brito, Taimur Gibran Rabuske, Jorge R. Fernandes, Paulo F. Flores, José C. Monteiro. Quaternary Logic Lookup Table in Standard CMOS
317 -- 330Brandon Noia, Shreepad Panth, Krishnendu Chakrabarty, Sung Kyu Lim. Scan Test of Die Logic in 3-D ICs Using TSV Probing
331 -- 341Afsaneh Nassery, Srinath Byregowda, Sule Ozev, Marian Verhelst, Mustapha Slamani. Built-In Self-Test of Transmitter I/Q Mismatch and Nonlinearity Using Self-Mixing Envelope Detector
342 -- 355Aritra Banerjee, Abhijit Chatterjee. Signature Driven Hierarchical Post-Manufacture Tuning of RF Systems for Performance and Power
356 -- 368Kentaro Yoshioka, Akira Shikata, Ryota Sekimoto, Tadahiro Kuroda, Hiroki Ishikuro. An 8 bit 0.3-0.8 V 0.2-40 MS/s 2-bit/Step SAR ADC With Successively Activated Threshold Configuring Comparators in 40 nm CMOS
369 -- 373Dean Michael Ancajas, Kshitij Bhardwaj, Koushik Chakraborty, Sanghamitra Roy. Wearout Resilience in NoCs Through an Aging Aware Adaptive Routing Algorithm
374 -- 378Azadeh Alsadat Emrani Zarandi, Amir Sabbagh Molahosseini, Mehdi Hosseinzadeh, Saeid Sorouri, Samuel Antão, Leonel Sousa. Reverse Converter Design via Parallel-Prefix Adders: Novel Components, Methodology, and Implementations
379 -- 383Minghe Xu, Zhenpeng Bian, Ruohe Yao. n
384 -- 387Zhen Gao, Pedro Reviriego, Wen Pan, Zhan Xu, Ming Zhao, Jing Wang, Juan Antonio Maestro. Fault Tolerant Parallel Filters Based on Error Correction Codes
388 -- 391Marco Lanuzza, Pasquale Corsonello, Stefania Perri. Fast and Wide Range Voltage Conversion in Multisupply Voltage Designs
392 -- 396Amr M. A. Hussien, Rahul Amin, Ahmed M. Eltawil, Jim Martin. Energy Aware Mapping for Reconfigurable Wireless MPSoCs
397 -- 401Zao Liu, Sheldon X.-D. Tan, Xin Huang, Hai Wang. Task Migrations for Distributed Thermal Management Considering Transient Effects
402 -- 406Zahid Ullah, Manish Kumar Jaiswal, Ray C. C. Cheung. Z-TCAM: An SRAM-based Architecture for TCAM
407 -- 412Christelle Hobeika, Claude Thibeault, Jean-François Boland. Functional Constraint Extraction From Register Transfer Level for ATPG

Volume 23, Issue 12

2757 -- 2767Zhenzhi Wu, Dake Liu. High-Throughput Trellis Processor for Multistandard FEC Decoding
2768 -- 2781Jinjia Zhou, Dajiang Zhou, Jiayi Zhu, Satoshi Goto. A Frame-Parallel 2 Gpixel/s Video Decoder Chip for UHDTV and 3-DTV/FTV Applications
2782 -- 2790Manash Chanda, Sankalp Jain, Swapnadip De, Chandan Kumar Sarkar. Implementation of Subthreshold Adiabatic Logic for Ultralow-Power Application
2791 -- 2803Shao-Ying Yeh, Yuan-Te Liao, Wei-Chi Lai, Terng-Yin Hsu. Cost-Efficient Frequency-Domain MIMO-OFDM Modem With an SIMD ALU-Based Architecture
2804 -- 2812Mehran Mozaffari Kermani, Reza Azarderakhsh, Anita Aghaie. Reliable and Error Detection Architectures of Pomaranch for False-Alarm-Sensitive Cryptographic Applications
2813 -- 2818Peyman Ahmadi, Mohammad Hossein Taghavi, Leonid Belostotski, Arjuna Madanayake. A 0.13-µm CMOS Current-Mode All-Pass Filter for Multi-GHz Operation
2819 -- 2828Matteo Crotti, Ivan Rech, Giulia Acconcia, Angelo Gulinatti, Massimo Ghioni. A 2-GHz Bandwidth, Integrated Transimpedance Amplifier for Single-Photon Timing Applications
2829 -- 2841Hao Wang, Xian Tang, Chiu-sing Choy, Ka Nang Leung, Kong-Pang Pun. A 5.4-mW 180-cm Transmission Distance 2.5-Mb/s Advanced Techniques-Based Novel Intrabody Communication Receiver Analog Front End
2842 -- 2851Hsiao-Chin Chen, Ming-Yu Yen, Kuo-Jin Chang. Searching for Spectrum Holes: A 400-800 MHz Spectrum Sensing System
2852 -- 2861Shao-Wei Chiu, Chun-Chieh Kuo, Yi-Ping Su, Ke-Horng Chen. Delay-Lock-Loop-Based Inductorless and Electrolytic Capacitorless Pseudo-Sine-Current Controller in LED Lighting Systems
2862 -- 2875Chian-Wei Liu, Chang-En Chiang, Ching-Yi Huang, Yung-Chih Chen, Chun-Yao Wang, Suman Datta, Vijaykrishnan Narayanan. Synthesis for Width Minimization in the Single-Electron Transistor Array
2876 -- 2889Yi Xiang, Sudeep Pasricha. Run-Time Management for Multicore Embedded Systems With Energy Harvesting
2890 -- 2901Katell Morin-Allory, Fatemeh Negin Javaheri, Dominique Borrione. Efficient and Correct by Construction Assertion-Based Synthesis
2902 -- 2912Chen Hou, Qianchuan Zhao. Bayesian Prediction-Based Energy-Saving Algorithm for Embedded Intelligent Terminal
2913 -- 2921Ashutosh Mishra, Pulak Mondal, Swapna Banerjee. VLSI-Assisted Nonrigid Registration Using Modified Demons Algorithm
2922 -- 2930Wenpian Paul Zhang, Xingyuan Tong. Noise Modeling and Analysis of SAR ADCs
2931 -- 2944Pooria M. Yaghini, Ashkan Eghbal, Misagh Khayambashi, Nader Bagherzadeh. Coupling Mitigation in 3-D Multiple-Stacked Devices
2945 -- 2956Zhihua Gan, Emre Salman, Milutin Stanacevic. Figures-of-Merit to Evaluate the Significance of Switching Noise in Analog Circuits
2957 -- 2969Salomon Beer, Jerome Cox, Ran Ginosar, Tom Chaney, David M. Zar. Variability in Multistage Synchronizers
2970 -- 2982Ameneh Golnari, Mahdi Shabany, Alireza Nezamalhosseini, P. Glenn Gulak. Design and Implementation of Time and Frequency Synchronization in LTE
2983 -- 2991Hailang Wang, Emre Salman. Decoupling Capacitor Topologies for TSV-Based 3-D ICs With Power Gating
2992 -- 3005Nima Aghaee, Zebo Peng, Petru Eles. Temperature-Gradient-Based Burn-In and Test Scheduling for 3-D Stacked ICs
3006 -- 3014Irith Pomeranz. Test Compaction by Sharing of Functional Test Sequences Among Logic Blocks
3015 -- 3028Ioannis Seitanidis, Anastasios Psarras, Kypros Chrysanthou, Chrysostomos Nicopoulos, Giorgos Dimitrakopoulos. ElastiStore: Flexible Elastic Buffering for Virtual-Channel-Based Networks on Chip
3029 -- 3042Sayed Taha Muhammad, Rabab Ezz-Eldin, Magdy A. El-Moursy, Ali A. El-Moursy, Amr M. Refaat. Traffic-Based Virtual Channel Activation for Low-Power NoC
3043 -- 3052Matteo Cuppini, Claudio Mucci, Eleonora Franchi Scarselli. Soft-Core Embedded-FPGA Based on Multistage Switching Networks: A Quantitative Analysis
3053 -- 3064Hang Lu, Binzhang Fu, Ying Wang, Yinhe Han, Guihai Yan, Xiaowei Li. RISO: Enforce Noninterfered Performance With Relaxed Network-on-Chip Isolation in Many-Core Cloud Processors
3065 -- 3075Xiaosen Liu, Edgar Sánchez-Sinencio. A Highly Efficient Ultralow Photovoltaic Power Harvesting System With MPPT for Internet of Things Smart Nodes
3076 -- 3084Shuang Li, Sami Smaili, Yehia Massoud. Parasitic-Aware Design of Integrated DC-DC Converters With Spiral Inductors
3085 -- 3098Peng Ouyang, Shouyi Yin, Leibo Liu, Shaojun Wei. Energy Management on Battery-Powered Coarse-Grained Reconfigurable Platforms
3099 -- 3103Tariq Alshawi, Abdelouahab Bentrcia, Saleh A. Alshebeili. Design and Low-Complexity Implementation of Matrix-Vector Multiplier for Iterative Methods in Communication Systems
3104 -- 3108Yaohua Zhao, Pui-In Mak, Man Kay Law, Rui Paulo Martins. Improving the Linearity and Power Efficiency of Active Switched-Capacitor Filters in a Compact Die Area
3109 -- 3113Jim Boley, Peter Beshay, Benton H. Calhoun. Virtual Prototyper (ViPro): An SRAM Design Tool for Yield Constrained Optimization
3114 -- 3118Aritra Banerjee, Abhijit Chatterjee. Automatic Test Stimulus Generation for Diagnosis of RF Transceivers Using Model Parameter Estimation
3119 -- 3123Mingzhong Li, Chio-In Ieong, Man Kay Law, Pui-In Mak, Mang I Vai, Sio-Hang Pun, Rui Paulo Martins. Energy Optimized Subthreshold VLSI Logic Family With Unbalanced Pull-Up/Down Network and Inverse Narrow-Width Techniques
3124 -- 3128Kang Zhao, Wenbo Shen. Parallel Stimulus Generation Based on Model Checking for Coherence Protocol Verification
3129 -- 3132Tiantao Lu, Ankur Srivastava. Modeling and Layout Optimization for Tapered TSVs
3133 -- 3137Pasquale Corsonello, Fabio Frustaci, Stefania Perri. Low-Leakage SRAM Wordline Drivers for the 28-nm UTBB FDSOI Technology
3138 -- 3142Gang He, Dajiang Zhou, Yunsong Li, Zhixiang Chen, Tianruo Zhang, Satoshi Goto. High-Throughput Power-Efficient VLSI Architecture of Fractional Motion Estimation for Ultra-HD HEVC Video Encoding
3143 -- 3147Amirreza Alizadeh, Reza Sarvari. On Temperature Dependency of Delay for Local, Intermediate, and Repeater Inserted Global Copper Interconnects
3148 -- 3152Maliang Liu, Zhangming Zhu, Yintang Yang. A High-SFDR 14-bit 500 MS/s Current-Steering D/A Converter in 0.18~µm CMOS

Volume 23, Issue 11

2357 -- 2370Debesh Bhatta, Nicholas Tzou, Joshua W. Wells, Sen-Wen Hsiao, Abhijit Chatterjee. Incoherent Undersampling-Based Waveform Reconstruction Using a Time-Domain Zero-Crossing Metric
2371 -- 2383HoKyu Lee, Aurangozeb, Sejin Park, Jintae Kim, Chulwoo Kim. A 6-bit 2.5-GS/s Time-Interleaved Analog-to-Digital Converter Using Resistor-Array Sharing Digital-to-Analog Converter
2384 -- 2394Muhammad Ahmadi, Won Namgoong. Comparator Power Reduction in Low-Frequency SAR ADC Using Optimized Vote Allocation
2395 -- 2407Jintae Kim, Siamak Modjtahedi, Chih-Kong Ken Yang. A Redundancy-Based Calibration Technique for High-Speed Digital-to-Analog Converters
2408 -- 2416Seyed Mohammad Ali Zeinolabedin, Jun Zhou, Xin Liu, Tony Tae-Hyoung Kim. An Area- and Energy-Efficient FIFO Design Using Error-Reduced Data Compression and Near-Threshold Operation for Image/Video Applications
2417 -- 2430Ivan Ukhov, Petru Eles, Zebo Peng. Temperature-Centric Reliability Analysis and Optimization of Electronic Systems Under Process Variation
2431 -- 2437Yuejian Wu, Sandy Thomson, Han Sun, David Krause, Song Yu, George Kurio. Free Razor: A Novel Voltage Scaling Low-Power Technique for Large SoC Designs
2438 -- 2446Ghasem Pasandi, Sied Mehdi Fakhraie. A 256-kb 9T Near-Threshold SRAM With 1k Cells per Bitline and Enhanced Write and Read Operations
2447 -- 2460Michail Maniatakos, Maria K. Michael, Yiorgos Makris. Multiple-Bit Upset Protection in Microprocessor Memory Arrays Using Vulnerability-Based Parity Optimization and Interleaving
2461 -- 2472Salomon Beer, Ran Ginosar. A Model for Supply Voltage and Temperature Variation Effects on Synchronizer Performance
2473 -- 2486Bo-Cheng Charles Lai, Kuan-Ting Chen, Ping-Ru Wu. A High-Performance Double-Layer Counting Bloom Filter for Multicore Systems
2487 -- 2496Ching-Che Chung, Duo Sheng, Chang-Jun Li. A Wide-Range Low-Cost All-Digital Duty-Cycle Corrector
2497 -- 2507Enrique F. Cantó-Navarro, Mariano Lopez Garcia, Rafael Ramos-Lara, Raul Sánchez-Reillo. Flexible Biometric Online Speaker-Verification System Implemented on FPGA Using Vector Floating-Point Units
2508 -- 2518Jun Lin, Zhiyuan Yan. An Efficient List Decoder Architecture for Polar Codes
2519 -- 2530Ying Teng, Baris Taskin. ROA-Brick Topology for Low-Skew Rotary Resonant Clock Network Design
2531 -- 2539Gian Domenico Licciardo, Antonio D'Arienzo, Alfredo Rubino. Stream Processor for Real-Time Inverse Tone Mapping of Full-HD Images
2540 -- 2551Babak Zamanlooy, Mitra Mirhassani. CVNS Synapse Multiplier for Robust Neurochips With On-Chip Learning
2552 -- 2565Mahdi Nikdast, Jiang Xu, Luan Huu Kinh Duong, Xiaowen Wu, Xuan Wang, Zhehui Wang, Zhe Wang, Peng Yang, Yaoyao Ye, Qinfen Hao. Crosstalk Noise in WDM-Based Optical Networks-on-Chip: A Formal Study and Comparison
2566 -- 2580Leibo Liu, Chen Wu, Chenchen Deng, Shouyi Yin, Qinghua Wu, Jie Han, Shaojun Wei. A Flexible Energy- and Reliability-Aware Application Mapping for NoC-Based Reconfigurable Architectures
2581 -- 2594Dajiang Liu, Shouyi Yin, Yu Peng, Leibo Liu, Shaojun Wei. Optimizing Spatial Mapping of Nested Loop for Coarse-Grained Reconfigurable Architectures
2595 -- 2605Liuxi Qian, Zhaori Bi, Dian Zhou, Xuan Zeng. Automated Technology Migration Methodology for Mixed-Signal Circuit Based on Multistart Optimization Framework
2606 -- 2616Jia Wang, Xuanxing Xiong, Xingwu Zheng. Deterministic Random Walk: A New Preconditioner for Power Grid Analysis
2617 -- 2628Jianlei Yang, Yici Cai, Qiang Zhou, Wei Zhao. A Selected Inversion Approach for Locality Driven Vectorless Power Grid Verification
2629 -- 2638Irith Pomeranz. Modeling a Set of Functional Test Sequences as a Single Sequence for Test Compaction
2639 -- 2647Xiaolong Zhang, Huiyun Li, Li Jiang, Qiang Xu. A Low-Cost TSV Test and Diagnosis Scheme Based on Binary Search Method
2648 -- 2656Mohamed Elshamy, Hassan Mostafa, Yehya H. Ghallab, Mohamed Sameh Said. A Novel Nondestructive Read/Write Circuit for Memristor-Based Memory Arrays
2657 -- 2670Marjan Asadinia, Mohammad Arjomand, Hamid Sarbazi-Azad. Variable Resistance Spectrum Assignment in Phase Change Memory Systems
2671 -- 2675Myonglae Chu, Byoungho Kim, Byung Geun Lee. A 10-bit 200-MS/s Zero-Crossing-Based Pipeline ADC in 0.13-µm CMOS Technology
2676 -- 2679Jesus Omar Lacruz, Francisco Garcia-Herrero, Javier Valls. Reduction of Complexity for Nonbinary LDPC Decoders With Compressed Messages
2680 -- 2684Dandan Zhang, Hai-Gang Yang, Wen-rui Zhu, Wei Li, Zhihong Huang, Lin Li, Tianyi Li. A Multiphase DLL With a Novel Fast-Locking Fine-Code Time-to-Digital Converter
2685 -- 2689Hyun Kim, Chae-Eun Rhee, Hyuk-Jae Lee. An Effective Combination of Power Scaling for H.264/AVC Compression
2690 -- 2694Alex Pappachen James, Dinesh Sasi Kumar, Arun Ajayan. Threshold Logic Computing: Memristive-CMOS Circuits for Fast Fourier Transform and Vedic Multiplication
2695 -- 2699Ke Chen, Jie Han, Fabrizio Lombardi. On the Restore Operation in MTJ-Based Nonvolatile SRAM Cells
2700 -- 2704Zhu Wang, Zonghua Gu, Zili Shao. WCET-Aware Energy-Efficient Data Allocation on Scratchpad Memory for Real-Time Embedded Systems
2705 -- 2708David Money Harris. Sequential Element Timing Parameter Definition Considering Clock Uncertainty
2709 -- 2713Jae-Hoon Kim, Wook Kim, Young-Hwan Kim. Efficient Statistical Timing Analysis Using Deterministic Cell Delay Models
2714 -- 2718Xiaobin Yuan, Pawel Owczarczyk, Alan J. Drake, Marshall D. Tiner, David T. Hui, John P. Pennings, Francesco A. Campisano, Richard L. Willaman, Leana M. Cropp, Rudolph D. Dussault. Design Considerations for Reconfigurable Delay Circuit to Emulate System Critical Paths
2719 -- 2723Chien-Hui Liao, Charles H.-P. Wen. Thermal-Constrained Task Scheduling on 3-D Multicore Processors for Throughput-and-Energy Optimization
2724 -- 2727Mehrzad Nejat, Bijan Alizadeh, Ali Afzali-Kusha. Dynamic Flip-Flop Conversion: A Time-Borrowing Method for Performance Improvement of Low-Power Digital Circuits Prone to Variations
2728 -- 2732Chao Wang, Yuwei Yan, Xiaoyu Fu. 3 FFT/IFFT Processor With Parallel and Normal Input/Output Order for IEEE 802.11ad Systems
2733 -- 2737Yongtae Kim, Yong Zhang, Peng Li. Energy Efficient Approximate Arithmetic for Error Resilient Neuromorphic Computing
2738 -- 2742Roger Yubtzuan Chen, Zong-Yi Yang. CMOS Transimpedance Amplifier for Visible Light Communications
2743 -- 2747Hao Wang, Kai Zhao, Jiangpeng Li, Tong Zhang 0002. Optimizing the Use of STT-RAM in SSDs Through Data-Dependent Error Tolerance
2748 -- 2752Younghwi Yang, Juhyun Park, Seung Chul Song, Joseph Wang, Geoffrey Yeap, Seong-Ook Jung. Single-Ended 9T SRAM Cell for Near-Threshold Voltage Operation With Enhanced Read Performance in 22-nm FinFET Technology
2753 -- 2756Kung Chi Cinnati Loi, Seok-Bum Ko. Scalable Elliptic Curve Cryptosystem FPGA Processor for NIST Prime Curves

Volume 23, Issue 10

1973 -- 1986Robert Fasthuber, Praveen Raghavan, Liesbet Van der Perre, Francky Catthoor. A Scalable MIMO Detector Processor With Near-ASIC Energy Efficiency
1987 -- 2000Ting-Jung Lin, Wei Zhang, Niraj K. Jha. FDR 2.0: A Low-Power Dynamically Reconfigurable Architecture and Its FinFET Implementation
2001 -- 2008Partha Bhattacharyya, Bijoy Kundu, Sovan Ghosh, Vinay Kumar, Anup Dandapat. Performance Analysis of a Low-Power High-Speed Hybrid 1-bit Full Adder Circuit
2009 -- 2022Christos Vezyrtzis, Yannis P. Tsividis, Steven M. Nowick. Improving the Energy Efficiency of Pipelined Delay Lines Through Adaptive Granularity
2023 -- 2033Sang-Hye Chung, Lee-Sup Kim. A 9.6-Gb/s 1.22-mW/Gb/s Data-Jitter Mixing Forwarded-Clock Receiver in 65-nm CMOS
2034 -- 2042Adam Teman, Roman Visotsky. A Fast Modular Method for True Variation-Aware Separatrix Tracing in Nanoscaled SRAMs
2043 -- 2053Jianming Yu, Wei Zhou, Yueming Yang, Xiaodong Zhang, Zhiyi Yu. Many-Core Processors Granularity Evaluation by Considering Performance, Yield, and Lifetime Reliability
2054 -- 2064Baker S. Mohammad, Hani H. Saleh, Mohammed Ismail. Design Methodologies for Yield Enhancement and Power Efficiency in SRAM-Based SoCs
2065 -- 2076Chang-Chih Chen, Linda S. Milor. Microprocessor Aging Analysis and Reliability Modeling Due to Back-End Wearout Mechanisms
2077 -- 2089Ioannis Savidis, Boris Vaisband, Eby G. Friedman. Experimental Analysis of Thermal Coupling in 3-D Integrated Circuits
2090 -- 2102Atef Ibrahim, Fayez Gebali, Turki F. Al-Somani. Systolic Array Architectures for Sunar-Koç Optimal Normal Basis Type II Multiplier
2103 -- 2115Shashikanth Bobba, Giovanni De Micheli. Layout Technique for Double-Gate Silicon Nanowire FETs With an Efficient Sea-of-Tiles Architecture
2116 -- 2127Salih Bayar, Arda Yurdakul. PFMAP: Exploitation of Particle Filters for Network-on-Chip Mapping
2128 -- 2134Esmat Kishani Farahani, Reza Sarvari. Design of n-Tier Multilevel Interconnect Architectures by Using Carbon Nanotube Interconnects
2135 -- 2148Jiayin Li, David B. Dgien, Nathan Altay Hunter, Yirong Zhao, Kartik Mohanram. Two-Port PCM Architecture for Network Processing
2149 -- 2161Ing-Chao Lin, Jeng-Nian Chiou. High-Endurance Hybrid Cache Design in CMP Architecture With Cache Partitioning and Access-Aware Policies
2162 -- 2172Hsin-Fu Luo, Yi-Jun Liu, Ming-Der Shieh. Efficient Memory-Addressing Algorithms for FFT Processor Design
2173 -- 2186Xiaolin Chen, Andreas Minwegen, Bilal Syed Hussain, Anupam Chattopadhyay, Gerd Ascheid, Rainer Leupers. Flexible, Efficient Multimode MIMO Detection by Using Reconfigurable ASIP
2187 -- 2197Pierre-Emmanuel Gaillardon, Xifan Tang, Gain Kim, Giovanni De Micheli. A Novel FPGA Architecture Based on Ultrafine Grain Reconfigurable Logic Cells
2198 -- 2208Stefano Di Carlo, Giulio Gambardella, Paolo Prinetto, Daniele Rolfo, Pascal Trotta. SA-FEMIP: A Self-Adaptive Features Extractor and Matcher IP-Core Based on Partially Reconfigurable FPGAs for Space Applications
2209 -- 2220Hassan Rabah, Abbes Amira, Basant Kumar Mohanty, Somaya Al-Máadeed, Pramod Kumar Meher. FPGA Implementation of Orthogonal Matching Pursuit for Compressive Sensing Reconstruction
2221 -- 2232Sriram Venkateshan, Alap Patel, Kuruvilla Varghese. Hybrid Working Set Algorithm for SVM Learning With a Kernel Coprocessor on FPGA
2233 -- 2243Marco Vacca, Juanchi Wang, Mariagrazia Graziano, Massimo Ruo Roch, Maurizio Zamboni. Feedbacks in QCA: A Quantitative Approach
2244 -- 2255Zuochang Ye, Tianshi Wang, Yang Li. Domain-Alternated Optimization for Passive Macromodeling
2256 -- 2267Cheng-Hung Lin, Chih-Shiang Yu. Multimode Radix-4 SISO Kernel Design for Turbo/LDPC Decoding
2268 -- 2280Bo Yuan, Keshab K. Parhi. Low-Latency Successive-Cancellation List Decoders for Polar Codes With Multibit Decision
2281 -- 2294Yu-Cheng Fan, Pin-Kang Huang, Hung-Kuan Liu. VLSI Design of a Depth Map Estimation Circuit Based on Structured Light Algorithm
2295 -- 2306Chih-Hsiang Peng, Ta-Wen Kuan, Po-Chuan Lin, Jhing-Fa Wang, Guo-Ji Wu. Trainable and Low-Cost SMO Pattern Classifier Implemented via MCMC and SFBS Technologies
2307 -- 2311Yu Wang, Song Yao, Shuai Tao, Xiaoming Chen, Yuchun Ma, Yiyu Shi, Huazhong Yang. HS3-DPG: Hierarchical Simulation for 3-D P/G Network
2312 -- 2316Hussain A. Alzaher, Mohammad K. Alghamdi. Implementation of Compact Polyphase Channel-Select Filters for Multistandard Broadcasting
2317 -- 2321Xiao Liang Tan, Pak Kwong Chan, Uday Dasgupta. A Sub-1-V 65-nm MOS Threshold Monitoring-Based Voltage Reference
2322 -- 2326Fayez Gebali, Atef Ibrahim. m) Based on Trinomial
2327 -- 2331Di-an Li, Malgorzata Marek-Sadowska, Sani R. Nassif. T-VEMA: A Temperature- and Variation-Aware Electromigration Power Grid Analysis Tool
2332 -- 2336Luis J. Saiz-Adalid, Pedro Reviriego, Pedro Gil, Salvatore Pontarelli, Juan Antonio Maestro. MCU Tolerance in SRAMs Through Low-Redundancy Triple Adjacent Error Correction
2337 -- 2341Akshay Kumar Maan, Dinesh Sasi Kumar, Sherin Sugathan, Alex Pappachen James. Memristive Threshold Logic Circuit Design of Fast Moving Object Detection
2342 -- 2346Jing-Shiun Lin, Yin-Tsung Hwang, Shih-Hao Fang, Po-Han Chu, Ming-Der Shieh. Low-Complexity High-Throughput QR Decomposition Design for MIMO Systems
2347 -- 2351Takuya Sawada, Kumpei Yoshikawa, Hidehiro Takata, Koji Nii, Makoto Nagata. An Extended Direct Power Injection Method for In-Place Susceptibility Characterization of VLSI Circuits Against Electromagnetic Interference
2352 -- 2356Vikramkumar Pudi, K. Sridharan. A Bit-Serial Pipelined Architecture for High-Performance DHT Computation in Quantum-Dot Cellular Automata

Volume 23, Issue 1

1 -- 17Krishnendu Chakrabarty. Editorial
18 -- 29Yu-Hsuan Lee, Cheng-Wei Pan. Fully Reused VLSI Architecture of FM0/Manchester Encoding Using SOLS Technique for DSRC Applications
30 -- 43Mohammed Shoaib, Niraj K. Jha, Naveen Verma. Signal Processing With Direct Computations on Compressively Sensed Data
44 -- 53Georgi I. Radulov, Patrick J. Quinn, Arthur H. M. van Roermund. A 28-nm CMOS 1 V 3.5 GS/s 6-bit DAC With Signal-Independent Delta-I Noise DfT Scheme
54 -- 67Hemasundar Mohan Geddada, Chang-Joon Park, Hyung Joon Jeon, José Silva-Martínez, Aydin Ilker Karsilayan, Douglas Garrity. Design Techniques to Improve Blocker Tolerance of Continuous-Time ΔΣ ADCs
68 -- 77Giovanni Causapruno, Gianvito Urgese, Marco Vacca, Mariagrazia Graziano, Maurizio Zamboni. Protein Alignment Systolic Array Throughput Optimization
78 -- 87I-Chyn Wey, Chien-Chang Peng, Feng-Yu Liao. Reliable Low-Power Multiplier Design Using Fixed-Width Replica Redundancy Block
88 -- 97Szu-Chi Chung, Jing-Yu Wu, Hsing-Ping Fu, Jen-Wei Lee, Hsie-Chia Chang, Chen-Yi Lee. T Pairing Accelerator Over Characteristic Three
98 -- 106Horng-Yuan Shih, Chun-Fan Chen, Yu-Chuan Chang, Yu-Wei Hu. An Ultralow Power Multirate FSK Demodulator With Digital-Assisted Calibrated Delay-Line Based Phase Shifter for High-Speed Biomedical Zero-IF Receivers
107 -- 117Weifeng Sun, Caixia Han, Miao Yang, Shen Xu, Shengli Lu. A Ripple Control Dual-Mode Single-Inductor Dual-Output Buck Converter With Fast Transient Response
118 -- 130Di-an Li, Malgorzata Marek-Sadowska, Sani R. Nassif. A Method for Improving Power Grid Resilience to Electromigration-Caused via Failures
131 -- 141Mohammad Abdur Rouf, Soontae Kim. Low-Cost Control Flow Protection via Available Redundancies in the Microprocessor Pipeline
142 -- 155Yici Cai, Chao Deng, Qiang Zhou, Hailong Yao, Feifei Niu, Cliff N. Sze. Obstacle-Avoiding and Slew-Constrained Clock Tree Synthesis With Efficient Buffer Insertion
156 -- 169Mahdi Nikdast, Jiang Xu, Luan H. K. Duong, Xiaowen Wu, Zhehui Wang, Xuan Wang, Zhe Wang. Fat-Tree-Based Optical Interconnection Networks Under Crosstalk Noise Constraint
170 -- 183Zhongqi Li, Amer Qouneh, Madhura Joshi, Wangyuan Zhang, Xin Fu, Tao Li. Aurora: A Cross-Layer Solution for Thermally Resilient Photonic Network-on-Chip
184 -- 188Meng-Hung Shen, Po-Chiun Huang. A Wide-Range Multiport LC-Ladder Oscillator and Its Applications to a 1.2-10.1 GHz PLL
189 -- 193Manuel de la Guia Solaz, Richard Conway. Razor Based Programmable Truncated Multiply and Accumulate, Energy-Reduction for Efficient Digital Signal Processing
194 -- 197Wen-rui Zhu, Haigang Yang, Tongqiang Gao, Fei Liu, Tao Yin, Dandan Zhang, Hongfeng Zhang. A 5.8-GHz Wideband TSPC Divide-by-16/17 Dual Modulus Prescaler
198 -- 202Hyung Joon Jeon, José Silva-Martínez, Sebastian Hoyos. A Process-Variation Resilient Current Mode Logic With Simultaneous Regulations for Time Constant, Voltage Swing, Level Shifting, and DC Gain Using Time-Reference-Based Adaptive Biasing Chain
203 -- 207Yuan-Ho Chen. An Accuracy-Adjustment Fixed-Width Booth Multiplier Based on Multilevel Conditional Probability
208 -- 212Himanshu Markandeya, Pedro P. Irazoqui, Kaushik Roy. Low-Energy Two-Stage Algorithm for High Efficacy Epileptic Seizure Detection
213 -- 217Mohammed Ziaur Rahman, Lindsay Kleeman, Mohammad Ashfak Habib. Recursive Approach to the Design of a Parallel Self-Timed Adder