Abstract is missing.
- Formation and annihilation of Cu conductive filament in the nonpolar resistive switching Cu/ZrO2: Cu/Pt ReRAMMing Liu, Qi Liu, Shibing Long, Weihua Guan. 1-4 [doi]
- Live demonstration: CASCADESMourad Fakhfakh, Mourad Loulou. 1
- Organic memristors : Basic principlesVictor Erokhin. 5-8 [doi]
- Memristive devices fabricated with silicon nanowire schottky barrier transistorsDavide Sacchetto, M. Haykel Ben Jamaa, Sandro Carrara, Giovanni De Micheli, Yusuf Leblebici. 9-12 [doi]
- Si Memristive devices applied to memory and neuromorphic circuitsSung Hyun Jo, Kuk-Hwan Kim, Ting Chang, Siddharth Gaba, Wei Lu. 13-16 [doi]
- Understanding weak loop filter nonlinearities in continuous time ΔΣ convertersShanthi Pavan. 17-20 [doi]
- A generalized approach to design CT ΣΔMs based on FIR DACAhmed Ashry, Hassan Aboushady. 21-24 [doi]
- Dual-mode Continuous-Time Quadrature Bandpass ΔΣ modulator with Pseudo-random Quadrature mismatch shaping algorithm for Low-IF receiver applicationChen-Yen Ho, Yung-Yu Lin, Tsung-Hsien Lin. 25-28 [doi]
- Systematic design of continuous-time ΣΔ modulator with VCO-based quantizerWagdy M. Gaber, Mootaz Allam, Hassan Aboushady, Marie-Minerve Louërat, El-Sayed Eid. 29-32 [doi]
- Multirate hybrid CT/DT cascade ΣΔ modulators with decreasing OSR of back-end DT stagesJ. Gerardo García-Sánchez, José Manuel de la Rosa. 33-36 [doi]
- Exploiting locality to improve leakage reduction in embedded drowsy I-caches at same area/speedMassimo Alioto, Paolo Bennati, Roberto Giorgi. 37-40 [doi]
- An interconnect-aware Dynamic Voltage Scaling scheme for DSM VLSIHouman Zarrabi, Asim J. Al-Khalili, Yvon Savaria. 41-44 [doi]
- Dynamic voltage and frequency scaling for low-power multi-precision reconfigurable multiplierXiaoxiao Zhang, Amine Bermak, Farid Boussaïd. 45-48 [doi]
- Minimizing energy consumption of a chip multiprocessor through simultaneous core consolidation and DVFSMohammad Ghasemazar, Ehsan Pakbaznia, Massoud Pedram. 49-52 [doi]
- Prospects and implementation of Non-DVFS dynamic thermal management techniquesPritesh Vora, Masud H. Choudhary. 53-56 [doi]
- Finding the minimum sampling frequency of multi-band signals: An efficient iterative algorithmYuan-Pei Lin, Yi-De Liu, See-May Phoong. 57-60 [doi]
- Breaking the SNR wall of spectrum sensing in cognitive radio by using the chaotic stochastic resonanceDi He. 61-64 [doi]
- Design paradigm for standard agnostic channelization in flexible mobile radiosNavin Michael, A. Prasad Vinod, Christophe Moy, Jacques Palicot. 65-68 [doi]
- Split-radix FFT pruning for the reduction of computational complexity in OFDM based Cognitive Radio systemYihu Xu, Myong-Seob Lim. 69-72 [doi]
- What did Gustav Robert Kirchhoff stumble upon 150 years ago?Aziz S. Inan. 73-76 [doi]
- Important questions related to the education of the mathematics of circuits and systemsJoos Vandewalle. 77-80 [doi]
- Terminals and portsJan C. Willems. 81-84 [doi]
- An industry-driven laboratory development for mixed-signal IC test educationJohn Hu, Mark Haffner, Samantha Yoder, Gursharan Reehal, Mark Scott, Mohammed Ismail. 85-88 [doi]
- A compact course on VHDL-AMSAbdulhadi Shoufan. 89-92 [doi]
- Motion detection using an aVLSI network of spiking neuronsYingxue Wang, Shih-Chii Liu. 93-96 [doi]
- Synthesis of log-domain integrators for silicon synapses with global parametric controlSrinjoy Mitra, Giacomo Indiveri, Ralph Etienne-Cummings. 97-100 [doi]
- An adaptive neuron circuit for signal compressionSheng-Feng Yen, John G. Harris. 101-104 [doi]
- Replicating experimental spike and rate based neural learning in CMOSChristian Mayr, Marko Noack, Johannes Partzsch, René Schüffny. 105-108 [doi]
- A temperature compensated array of CMOS floating-gate analog memoryChenling Huang, Shantanu Chakrabartty. 109-112 [doi]
- Fast algorithm on selecting bi-directional prediction type in H.264/AVC scalable video codingHung-Chih Lin, Hsueh-Ming Hang. 113-116 [doi]
- Lloyd-Max quantization-based priority index assignment for the scalable extension of H.264/AVCXiaozheng Huang, Jie Liang, Hongfei Du, Jiangchuan Liu. 117-120 [doi]
- Hybrid color compensation for virtual view synthesis in multiview video applicationsPei-Kuei Tsung, Hsin-Jung Yang, Pin-Chih Lin, Kuan-Yu Chen, Liang-Gee Chen. 121-124 [doi]
- Block-based distributed video coding with variable block modesJui-Chiu Chiang, Kuan-Liang Chen, Chi-Ju Chou, Chang-Ming Lee, Wen-Nung Lie. 125-128 [doi]
- Chaos, coexisting attractors, and fractal basin boundaries in DC drives with full-bridge converterNelson Okafor, Bashar Zahawi, Damian Giaouris, Soumitro Banerjee. 129-132 [doi]
- Bifurcations in load resonant DC-DC convertersKuntal Mandal, Soumitro Banerjee, Chandan Chakraborty. 133-136 [doi]
- Periodic steady-state solutions of nonlinear circuits based on a differentiation matrixNorberto Garcia. 141-144 [doi]
- Cryptanalysis of chaotic convolutional coderJiantao Zhou, Oscar C. Au. 145-148 [doi]
- A VLSI design of sensor node for wireless image sensor networkRenyan Zhou, Leibo Liu, Shouyi Yin, Ao Luo, Xinkai Chen, Shaojun Wei. 149-152 [doi]
- Single phase MOS-NDR mobile networksJuan Núñez, Maria J. Avedillo, José M. Quintana. 153-156 [doi]
- High-throughput protocol converter based on an independent encoding/decoding scheme for asynchronous Network-on-ChipNaoya Onizawa, Takahiro Hanyu. 157-160 [doi]
- Effective modelling of large NoCs using SystemCMohammad Hosseinabady, José L. Núñez-Yáñez. 161-164 [doi]
- A scalable and fault-tolerant routing algorithm for NoCsZewen Shi, Kaidi You, Yan Ying, Bei Huang, Xiaoyang Zeng, Zhiyi Yu. 165-168 [doi]
- Digital filters with sparse coefficientsWu-Sheng Lu, Takao Hinamoto. 169-172 [doi]
- Active beamforming with interpolated FIR filterinPalghat P. Vaidyanathan, Ching-Chih Weng. 173-176 [doi]
- Low-complexity linear phase fir filters in cascade formDong Shi, Ya Jun Yu. 177-180 [doi]
- Redundancy reduction for high-speed fir filter architectures based on carry-save adder treesAnton Blad, Oscar Gustafsson. 181-184 [doi]
- Fixed-point FIR filter design and implementation in the expanding subexpression spaceChia-Yu Yao, Chung-Lin Sha. 185-188 [doi]
- A 25 Gbps inductorless receiver front-end in 65-nm CMOS for serial linksNorio Chujo, Takehito Kamimura, Goichi Ono, Fumio Yuki. 189-192 [doi]
- A clock synchronization system with IEEE 1588-2008 adapters over existing Gigabit Ethernet equipmentJiho Han, Hankyu Chi, Deog Kyoon Jeong. 193-196 [doi]
- Analog front-end for a 3 Gb/s POF receiverYunzhi Dong, Ken Martin. 197-200 [doi]
- A 5Gb/s pulse signaling interface for low power on-chip data communicationHung-Wen Lin, Ying-Chieh Ho, YingLin Fa, Chauchin Su. 201-204 [doi]
- A 15-Gb/s preamplifier with 10-dB gain control and 8-mV sensitivity in 65-nm CMOSDustin Dunwell, Anthony Chan Carusone. 205-208 [doi]
- A low power ultra-wideband CMOS LNA for 3.1-10.6-GHz wireless receiversKimia T. Ansari, Calvin Plett. 209-212 [doi]
- A low power single ended input differential output low noise amplifier for L1/L2 bandYonghui Ji, Ming Liu, Qin Wang, Shibing Long, Zhaoan Yu, Manhong Zhang. 213-216 [doi]
- A 10MHz to 100MHz bandwidth scalable, fully differential current feedback amplifierNihit Bajaj, Bert Vermeire, Bertan Bakkaloglu. 217-220 [doi]
- A low-voltage, high linear programmable triode transconductorJuan Antonio Gómez Galán, Manuel Pedro, Carlos Rubia-Marcos, Ramón González Carvajal, Clara Isabel Lujan-Martinez, Antonio J. López-Martín. 221-224 [doi]
- Tunable rail-to-rail FGMOS transconductorJosé M. Algueta Miguel, Antonio J. López-Martín, Jaime Ramírez-Angulo, Ramón González Carvajal. 225-228 [doi]
- State-of-the-art and future directions of high-performance all-digital frequency synthesis in nanometer CMOSRobert B. Staszewski. 229-232 [doi]
- All-digital frequency and clock synthesis architectures from a signals and systems perspective, current state and future directionsPaul-Peter Sotiriadis. 233-236 [doi]
- A comparative study between Fractional-N PLL and Flying-Adder PLLLiming Xiu, Chen-Wei Huang, Ping Gui. 237-240 [doi]
- An all-digital PLL with a first order noise shaping Time-to-Digital ConverterFrancesco Brandonisio, Franco Maloberti. 241-244 [doi]
- Calculation of the cycle length in a HK-MASH DDSM with multilevel quantizersBrian Fitzgibbon, Michael Peter Kennedy. 245-248 [doi]
- On scalable spiking convnet hardware for cortex-like visual sensory processing systemsLuis A. Camuñas-Mesa, José Antonio Pérez-Carrasco, Carlos Zamarreño-Ramos, Teresa Serrano-Gotarredona, Bernabé Linares-Barranco. 249-252 [doi]
- Convolutional networks and applications in visionYann LeCun, Koray Kavukcuoglu, Clément Farabet. 253-256 [doi]
- Hardware accelerated convolutional neural networks for synthetic vision systemsClément Farabet, Berin Martini, Polina Akselrod, Selçuk Talay, Yann LeCun, Eugenio Culurciello. 257-260 [doi]
- Embedded facial image processing with Convolutional Neural NetworksFranck Mamalet, Sébastien Roux, Christophe Garcia. 261-264 [doi]
- Suggestions for a biologically inspired spiking retina using order-based codingSimon J. Thorpe, Adrien Brilhault, José Antonio Pérez-Carrasco. 265-268 [doi]
- System design principles combining sub-threshold circuit and architectures with energy scavenging mechanismsBenton H. Calhoun, Sudhanshu Khanna, Yanqing Zhang, Joseph F. Ryan, Brian Otis. 269-272 [doi]
- Maximum power point considerations in micro-scale solar energy harvesting systemsChao Lu, Vijay Raghunathan, Kaushik Roy. 273-276 [doi]
- Logic-compatible embedded DRAM design for memory intensive low power systemsKi Chul Chun, Pulkit Jain, Chris H. Kim. 277-280 [doi]
- Harvesting kinetic energy with switched-inductor DC-DC convertersDongwon Kwon, Gabriel A. Rincón-Mora, Erick O. Torres. 281-284 [doi]
- Circuit design advances to enable ubiquitous sensing environmentsMingoo Seok, Scott Hanson, Michael Wieckowski, Gregory K. Chen, Yu-Shiang Lin, David Blaauw, Dennis Sylvester. 285-288 [doi]
- A time encoded decimation filter for noise shaped power DACsLuis Hernández, Jorge Fernandez, Enrique Prefasi, Susanna Patón. 289-292 [doi]
- A digital background correction technique combined with DWA for DAC mismatch errors in multibit ΣΔ ADCsHossein Pakniat, Mohammad Yavari, Reza Lotfi. 293-296 [doi]
- Precise area-controlled return-to-zero current steering DAC with reduced sensitivity to clock jitterNima Maghari, Un-Ku Moon. 297-300 [doi]
- Efficient determination of feedback DAC errors for digital correction in ΔΣ A/D convertersNagendra Krishnapura. 301-304 [doi]
- A sixth-order 4-2 SMASH CIFF complex bandpass ΔΣ modulator with delaying digital input feedforwardChien-Hung Kuo, Hung-Jing Lai, Deng-Yao Shi. 305-308 [doi]
- A compact and low power logic design for multi-pillar vertical MOSFETsKoji Sakui, Tetsuo Endoh. 309-312 [doi]
- A low-power cell-based-design multi-port register file in 65nm CMOS technologyJohannes Uhlig, Sebastian Höppner, Georg Ellguth, René Schüffny. 313-316 [doi]
- A new low-power high-speed single-clock-cycle binary comparatorFabio Frustaci, Stefania Perri, Marco Lanuzza, Pasquale Corsonello. 317-320 [doi]
- Clock distribution in clock domains with Dual-Edge-Triggered Flip-Flops to improve energy-efficiencyMassimo Alioto, Elio Consoli, Gaetano Palumbo. 321-324 [doi]
- A 19μW, 100kbps Impulse Radio transceiver for body-area-networksRajeev K. Dokania, Xiao Y. Wang, Siddharth G. Tallur, Alyssa B. Apsel. 325-328 [doi]
- A current-mode 6-9GHz UWB transmitter with output power flattening techniqueYunfeng Chen, Jinhan Fan, Wei Li, Ning Li, Junyan Ren. 329-332 [doi]
- Low-power UWB transmitter using a combined mixer and power amplifierSilvia Soldà, M. Caruso, Daniele Vogrig, Andrea Bevilacqua, Andrea Gerosa, Andrea Neviani. 333-336 [doi]
- Derivation of circuit specification for the UWB impulse radio transceiversGéza Kolumbán, Tamás Krébesz, Chi Kong Tse, Francis C. M. Lau. 337-340 [doi]
- A transmitted-reference low-power reconfigurable ultra-wideband transmitterKamel Elkhenissi, Maxim Cournoyer, Dominic Deslandes, Frederic Nabki. 341-344 [doi]
- A bio-inspired ultrasensitive imaging chip - Phase one: Design paradigmKonstantin Nikolic, Chris Toumazou. 345-348 [doi]
- Event-based color change pixel in standard CMOSRaphael Berner, Tobi Delbrück. 349-352 [doi]
- A single bit memory per pixel time domain DPS using multi-reset integration schemeSylvain Léomant, Xiajun Wu, Amine Bermak. 353-356 [doi]
- Compact readout circuits for SPAD arraysDanial Chitnis, Steve Collins. 357-360 [doi]
- A load-balancing readout method for large event-based PWM imaging arraysDaniel Matolin, Rainer Wohlgenannt, Martin Litzenberger, Christoph Posch. 361-364 [doi]
- Poisson distributed noise generation for spiking neural applicationsKatherine L. Cameron, Thomas F. Clayton, Bruce Rae, Alan F. Murray, Robert Henderson, Edoardo Charbon. 365-368 [doi]
- Floating gate synapses with spike time dependent plasticityShubha Ramakrishnan, Paul E. Hasler, Christal Gordon. 369-372 [doi]
- GPU implemention of fast Gabor filtersXinxin Wang, Bertram E. Shi. 373-376 [doi]
- Guaranteeing spike arrival time in multiboard & multichip spiking neural networksBilel Belhadj, Jean Tomas, Olivia Malot, Yannick Bornat, Gilles N Kaoua, Sylvie Renaud. 377-380 [doi]
- High performance implementation of Neural Networks by networks on chip with 5-port 2-virtual channelsYiping Dong, Zhen Lin, Yan Li, Takahiro Watanabe. 381-384 [doi]
- Prediction-based macroblock mode mapping for video codingJun Zhang, Xiang Li, Nam Ling, Jianhua Zheng, Philipp Zhang. 385-388 [doi]
- A VLSI architecture of cost calculation and all-zero block detection for fractional motion estimationBingqiang Zhu, Da An, Yaocheng Rong, Yun He. 389-392 [doi]
- Perceptual-based coding mode decisionYi-Hsin Huang, Tao-Sheng Ou, Homer H. Chen. 393-396 [doi]
- Fast mode decision for KTA softwareWenpeng Ding, You Zhou, Feng Wu. 397-400 [doi]
- An adaptive bandwidth reduction scheme for video codingLiu Song, Dajiang Zhou, Xin Jin, Satoshi Goto, Peilin Liu. 401-404 [doi]
- Effect of clustering coefficient on cooperation in scale-free public goods gameZhihai Rong, Han-xin Yang, Wen-Xu Wang. 405-408 [doi]
- The roles of small-world and degree heterogeneity on evolutionary behavior networksYang Yang, Xiang Li, Zhihai Rong. 409-412 [doi]
- An opinion disseminating model for market penetration in social networksDaniel Trpevski, Wallace Kit-Sang Tang, Ljupco Kocarev. 413-416 [doi]
- On decentralized adaptive pinning synchronization of complex dynamical networksHousheng Su, Zhihai Rong, Xiaofan Wang, Guanrong Chen. 417-420 [doi]
- Impulsive synchronization on complex networks of nonlinear dynamical systemsJuan Chen, Junan Lu, Xiaoqun Wu, Wei Xing Zheng. 421-424 [doi]
- A high speed IC Random Number Generator based on phase noise in ring oscillatorsÜlkühan Güler, Salih Ergün. 425-428 [doi]
- Hardware implementation of the double-tree scan architectureNathan Schemm, Sina Balkir, Sharad Seth. 429-432 [doi]
- Fast and scalable priority encoding using static CMOSSatendra Kumar Maurya, Lawrence T. Clark. 433-436 [doi]
- Multi-cycle compress technique for high-speed IP in low-cost environmentGong-Han Chen, Chu-Chuan Lin, Po-Han Wu, Jiann-Chyi Rau. 437-440 [doi]
- Efficient high-throughput architectures for high-speed parallel scramblersJianwei Chen, Hongchin Lin, Yun-Ching Tang. 441-444 [doi]
- Wide-band length-6 cubic interpolatorTian-Bo Deng. 445-448 [doi]
- Fraction-free inversion of a Toeplitz matrixYuval Bistritz, Yaron Segalov. 449-452 [doi]
- A 30fps stereo matching processor based on belief propagation with disparity-parallel PE array architectureJunyoung Park, Seungjin Lee, Hoi-Jun Yoo. 453-456 [doi]
- Minimal Logic Depth adder tree optimization for Multiple Constant MultiplicationMathias Faust, Chip-Hong Chang. 457-460 [doi]
- On joint synchronization of clock offset and skew for Wireless Sensor Networks under exponential delayMei Leng, Yik-Chung Wu. 461-464 [doi]
- High-speed re-encoder design for algebraic soft-decision Reed-Solomon decodingJiangli Zhu, Xinmiao Zhang. 465-468 [doi]
- Memory-reduced MAP decoding for double-binary convolutional Turbo codeJinjin He, Zhongfeng Wang, Huaping Liu. 469-472 [doi]
- An early stopping criterion for decoding LDPC codes in WiMAX and WiFi standardsZhixiang Chen, Xiongxin Zhao, Xiao Peng, Dajiang Zhou, Satoshi Goto. 473-476 [doi]
- Dual-rail decoding of low-density parity-check codesBongjin Kim, Hasan Ahmed, In-Cheol Park. 477-480 [doi]
- Layered decoding for non-binary LDPC codesShuai Zhou, Jin Sha, Li Li, Zhongfeng Wang. 481-484 [doi]
- Monolithic CMOS HD radio: Architecture design and front-end implementationInshad Chowdhury, Dongsheng Ma, Fred Highton, Paul Prazak. 485-488 [doi]
- Low power 2.4 GHz quadrature generation for body area network applicationsJens Masuch, Manuel Delgado-Restituto. 493-496 [doi]
- A 21pJ/pulse FCC compliant UWB pulse generatorYousif Shamsa, Wouter A. Serdijn. 497-500 [doi]
- A 13MHz input, 480MHz output Fractional Phase Lock Loop with 1MHz bandwidthAnant S. Kamath, Biman Chattopadhyay. 501-504 [doi]
- The use of spike-based representations for hardware audition systemsShih-Chii Liu, Nima Mesgarani, John G. Harris, Hynek Hermansky. 505-508 [doi]
- Characteristics of human voice processingTrevor R. Agus, Clara Suied, Simon J. Thorpe, Daniel Pressnitzer. 509-512 [doi]
- Exploiting spike-based dynamics in a silicon cochlea for speaker identificationShantanu Chakrabartty, Shih-Chii Liu. 513-516 [doi]
- Mean firing rate spike representations for speech recognitionJohn G. Harris, Yukun Feng. 517-520 [doi]
- One step Backpropagation Through Time for learning input mapping in reservoir computing applied to speech recognitionMichiel Hermans, Benjamin Schrauwen. 521-524 [doi]
- Robustness of stability regions of nonlinear circuits and systems under parameter variationFabíolo M. Amaral, Luís F. C. Alberto. 525-528 [doi]
- Critical load-shedding time calculation based on region of attraction limitsNikos G. Sakellaridis, Costas D. Vournas. 529-532 [doi]
- Trajectory approximation near the stability boundaryIan A. Hiskens. 533-536 [doi]
- On-line power system stability screening of practical power system models using TEPCO-BCUJianzhong Tong, Hsiao-Dong Chiang, Yasuyuki Tada. 537-540 [doi]
- Probability metrics to calibrate stochastic chemical kineticsHeinz Koeppl, Gianluca Setti, Serge Pelet, Mauro Mangia, Tatjana Petrov, Matthias Peter. 541-544 [doi]
- Design of an insulation device using phosphotransfer systemsShridhar Jayanthi, Domitilla Del Vecchio. 545-548 [doi]
- Computational methods for analyzing bistability in biochemical reaction networksCasian Pantea, Gheorghe Craciun. 549-552 [doi]
- iSSA: An incremental stochastic simulation algorithm for genetic circuitsChris Winstead, Curtis Madsen, Chris J. Myers. 553-556 [doi]
- Rule based constraints for the construction of genetic devicesDouglas Densmore, Joshua T. Kittleson, Lesia Bilitchenko, Adam Liu, J. Christopher Anderson. 557-560 [doi]
- Adaptive compensation of frequency response mismatches in high-resolution time-interleaved ADCs using a low-resolution ADC and a time-varying filterShahzad Saleem, Christian Vogel. 561-564 [doi]
- Radix-based digital correction technique for two-capacitor DACsJinzhou Cao, Gabor C. Temes. 565-568 [doi]
- Foreground digital calibration of non-linear errors in pipelined A/D convertersHussein Adel, Mohamed Dessouky, Marie-Minerve Louërat, Hugo Gicquel, Hisham Haddara. 569-572 [doi]
- New calibration technique for current-steering DACsTao Zeng, Degang Chen. 573-576 [doi]
- Synthesis of Subband Hybrid Filter Banks ADCs with finite word-length coefficients using adaptive equalizationZhiguo Song, Caroline Lelandais-Perrault, Daniel Poulton, Philippe Bénabès. 577-580 [doi]
- A 32Gbps low propagation delay 4×4 switch IC for feedback-based system in 0.13μm CMOS technologyYu-Hao Hsu, Yang-Syu Lin, Ching-Te Chiu, Jen-Ming Wu, Shuo-Hung Hsu, Fanta Chen, Min-Sheng Kao, Yarsun Hsu. 581-584 [doi]
- A low-power IP design of Viterbi decoder with dynamic threshold settingYi-Ming Lin, Wan-Ching Liu, Li-Yuan Chang, Chih-Yuan Lien, Pei-Yin Chen, Shung-Chih Chen. 585-588 [doi]
- Matching pursuit: Evaluation and implementatio for LTE channel estimationPatrick Maechler, Pierre Greisen, Norbert Felber, Andreas Burg. 589-592 [doi]
- VLSI implementation of a WiMAX/LTE compliant low-complexity high-throughput soft-output K-Best MIMO detectorDimpesh Patel, Vadim Smolyakov, Mahdi Shabany, P. Glenn Gulak. 593-596 [doi]
- A simple energy efficient transceiver for IEEE 802.15.4Chen Wang, Qinye Yin, Wenjie Wang, Jingjing Zhang, Haixia Liu. 597-600 [doi]
- A novel high-speed and low-power negative voltage level shifter for low voltage applicationsPeijun Liu, Xueqiang Wang, Dong Wu, Zhigang Zhang, Liyang Pan. 601-604 [doi]
- High-voltage rectifier and voltage doubler in conventional 0.18μm CMOS processEdward K. F. Lee. 605-608 [doi]
- Low quiescent current variable output digital controlled voltage regulatorWei-Chih Hsieh, Wei Hwang. 609-612 [doi]
- Takagi-Sugeno fuzzy model to approximate MOSFET capacitance for VRM applicationsT. López, Eduard Alarcón, Francisco Guinjoan, Alberto Poveda. 613-616 [doi]
- Hardware-software co-design of an embedded power management module with adaptive on-chip power processing schemesRajdeep Bondade, Dongsheng Ma. 617-620 [doi]
- Integrated polarization-analyzing CMOS image sensorMukul Sarkar, David San Segundo Bello, Chris Van Hoof, Albert J. P. Theuwissen. 621-624 [doi]
- A row-parallel cyclic-line-access edge detection CMOS image sensor employing global thresholding operationNorihiro Takahashi, Tadashi Shibata. 625-628 [doi]
- A 1 MPixel CCD image sensor with aluminum nanowire polarization filterViktor Gruev, Rob Perkins. 629-632 [doi]
- Intensity histogram CMOS image sensor for adaptive opticsYu M. Chi, Gary Carhart, Mikhail A. Vorontsov, Gert Cauwenberghs. 633-636 [doi]
- Liquid-crystal micropolarimeter array for visible linear and circular polarization imagingXiaojin Zhao, Amine Bermak, Farid Boussaïd, Vladimir Chigrinov. 637-640 [doi]
- Using QBF to increase accuracy of SAT-based debuggingAndré Sülflow, Görschwin Fey, Rolf Drechsler. 641-644 [doi]
- Improving verification coverage of analog circuit blocks by state space-guided transient simulationSebastian Steinhorst, Lars Hedrich. 645-648 [doi]
- Efficient test generation with maximal crosstalk-induced noise using unconstrained aggressor excitationStephan Eggersglüß, Daniel Tille, Rolf Drechsler. 649-652 [doi]
- Fault collapsing with linear complexity in digital circuitsRaimund Ubar, Dmitri Mironov, Jaan Raik, Artur Jutman. 653-656 [doi]
- Detection of inter-port bridging faults in dual-port memoriesHo-Yong Choi, Kewal K. Saluja. 657-660 [doi]
- Low complexity MAD prediction algorithms for rate controllable H.264/AVC hardware encodersLi-Chuan Chang, Chih-Hung Kuo, Bin-Da Liu. 661-664 [doi]
- Efficient inter-layer prediction hardware design with extended spatial scalability for H.264/AVC scalable extensionYu-Chen Chen, Gwo-Long Li, Tian-Sheuan Chang. 665-668 [doi]
- Efficient macroblock pipeline structure in high definition AVS video encoder VLSI architectureHai Bing Yin, Honggang Qi, Huizhu Jia, Don Xie, Wen Gao. 669-672 [doi]
- Down-sampling based video coding with super-resolution techniqueMinmin Shen, Ping Xue, Ci Wang. 673-676 [doi]
- A lossless frame recompression scheme for reducing DRAM power in video encodingXuena Bao, Dajiang Zhou, Satoshi Goto. 677-680 [doi]
- Building synchronizable and robust networksIgor Mishkovski, Marco Righero, Mario Biey, Ljupco Kocarev. 681-684 [doi]
- Fully adaptive pinning control of complex networksPiero DeLellis, Mario di Bernardo, Luiz Felipe R. Turci. 685-688 [doi]
- Robust adaptive control of a class of nonlinear systems by internal model designDabo Xu, Jie Huang. 689-692 [doi]
- Basins of attraction for periodic solutions of discretized sliding mode control systemsZbigniew Galias. 693-696 [doi]
- Automatic skill acquisition in Reinforcement Learning using connection graph stability centralityAli Ajdari Rad, Martin Hasler, Parham Moradi. 697-700 [doi]
- A high performance pseudo-multi-core ECC processor over GF(2:::163:::)Yu Zhang, Dongdong Chen, Younhee Choi, Li Chen, Seok-Bum Ko. 701-704 [doi]
- A novel counter-based low complexity inner-product architecture for high speed inputsManas Ranjan Meher, Ching-Chuen Jong, Chip-Hong Chang, Jeremy Yung Shern Low. 705-708 [doi]
- A radix-4 single-precision floating point divider based on digit set interleavingIngo Rust, Tobias G. Noll. 709-712 [doi]
- Design of high-speed bit-serial divider in GF(2:::m:::)Wen-Ching Lin, Ming-Der Shieh, Chien-Ming Wu. 713-716 [doi]
- Fast hard multiple generators for radix-8 Booth encoded modulo 2:::n:::-1 and modulo 2:::n:::+1 multipliersRamya Muralidharan, Chip-Hong Chang. 717-720 [doi]
- Frequency domain limitations of non-negative impulse response non-lowpass filtersYuzhe Liu, Peter H. Bauer. 721-724 [doi]
- Novel low complexity lattice filters with overflow property close to the normalized latticeGang Li, Yong Ching Lim, Chaogeng Huang, Shuqin Guo. 725-728 [doi]
- Analytical synthesis of minimum L2-sensitivity realizations of all-pass digital filtersShunsuke Yamaki, Masahide Abe, Masayuki Kawamata. 729-732 [doi]
- Polynomial implementation structure for lagrange-type variable fractional delay filtersWei Jing Xu, Ya Jun Yu. 733-736 [doi]
- Design of IIR allpass fractional-delay fractional Hilbert transformer using complex cepstrumSoo-Chang Pei, Huei-Shan Lin. 737-740 [doi]
- 45-nm Planar bulk-CMOS 23-GHz LNAs with high-Q above-IC inductorsWen-Chieh Wang, Zue-Der Huang, Geert Carchon, Abdelkarim Mercha, Stefaan Decoutere, Walter De Raedt, Chung-Yu Wu. 741-744 [doi]
- 30-39GHz 2Gbit/s ring oscillator based OOK-modulator for chip-to-chip communicationsTero Tikka, Jussi Ryynänen. 745-748 [doi]
- Bandwidth enhancement of passive filters at mm-wave frequencies using effective negative group index (NGI) structuresMuhamamd Adnan, Ehsan Afshari. 749-752 [doi]
- A W-band LNA in 0.18-μm SiGe BiCMOSLeland Gilreath, Vipul Jam, Payam Heydan. 753-756 [doi]
- A 40 Gb/s transimpedance amplifier in 65 nm CMOSSamira Bashiri, Calvin Plett, Jorge Aguirre, Peter Schvan. 757-760 [doi]
- Wide swing signal amplification by SC voltage doublingSebastian Höppner, René Schüffny, Zuo-Min Tsai, Huei Wang. 761-764 [doi]
- Low-power ripple-free chopper amplifier with correlated double sampling de-choppingMassimiliano Belloni, Edoardo Bonizzoni, Franco Maloberti, Andrea Fornasari. 765-768 [doi]
- A phase-shift self-oscillating stereo class-D amplifier for battery-powered applicationsAlexandre Huffenus, Gaël Pillonnet, Nacer Abouchi, Frédéric Goutti, Vincent Rabary, Cécile Specq. 769-772 [doi]
- Low-voltage gm-enhanced CMOS differential pairs using positive feedbackJaime Ramírez-Angulo, Belén Calvo, Ramón González Carvajal, Antonio J. López-Martín. 773-776 [doi]
- Analytical figure of merit evaluation of RNMC networks for low-power three-stage OTAsDavide Marano, Gaetano Palumbo, Salvatore Pennisi. 777-780 [doi]
- A 2-dimensional Si nanodisk array structure for spiking neuron modelsTakashi Morie, Yilai Sun, Haichao Liang, Makoto Igarashi, Chi-Hsien Huang, Seiji Samukawa. 781-784 [doi]
- Analysis of NBTI-induced SNM degradation in power-gated SRAM cellsAndrea Calimera, Enrico Macii, Massimo Poncino. 785-788 [doi]
- Dual-stylus-arm scratch drive micro-robots controlled by a communication channelJung H. Cho, Mark G. Arnold. 789-792 [doi]
- Blind carrier frequency offset estimation for OFDM systems by probability density functionJu-Ya Chen. 793-796 [doi]
- Sigma-delta learning for super-resolution source separation on high-density microphone arraysAmin Fazel, Shantanu Chakrabartty. 797-800 [doi]
- A block-based adaptive super-exponential deflation algorithm for blind deconvolution of MIMO systems using the matrix pseudo-inversion lemmaKiyotaka Kohno, Mitsuru Kawamoto, Yujiro Inouye. 801-804 [doi]
- A joint block diagonalization approach to convolutive blind source separationXianfeng Xu, Da-Zheng Feng, Wei Xing Zheng. 805-808 [doi]
- Blind resampling parameter estimation for doubly selective underwater acoustic channels (Invited Paper)Srinivas Yerramalli, Urbashi Mitra. 809-812 [doi]
- Digital signal processing for reducing the effects of RF imperfections in radio devices - An overviewMikko Valkama, Andreas Springer, Gernot Hueber. 813-816 [doi]
- Softransceiver transmit origin offset compensation: Digital to the rescue of RF-CMOSKhurram Waheed, John Kilpatrick, Greg Sheets, Geoff Dawe. 817-820 [doi]
- Emerging multi-level architectures and unbalanced mismatch calibration technique for high-efficient and high-linear LINC systemsJoy Laskar, Kyutae Lim, Joonhoi Hur, Kihyun W. Kim, O. Lee, Chang-Ho Lee. 821-824 [doi]
- An IIP2 digital calibration technique for passive CMOS down-convertersSaul Rodriguez, Sha Tao, Mohammed Ismail, Ana Rusu. 825-828 [doi]
- Sampling clock jitter estimation and compensation in ADC circuitsZaid J. Towfic, Shang-Kee Ting, Ali H. Sayed. 829-832 [doi]
- A DC-DC Converter using a high speed soft-start control circuitKimio Shibata, Cong-Kha Pham. 833-836 [doi]
- A resistor-less overload detector for dc/dc linear regulatorsJader A. De Lima, Wallace A. Pimenta. 837-840 [doi]
- Low noise linear voltage regulator for use as an on-chip PLL supply in microprocessorsJoseph Shor. 841-844 [doi]
- A resistor-free temperature-compensated CMOS current referenceWei Liu, Waleed Khalil, Mohammed Ismail, Edith Kussener. 845-848 [doi]
- Low-dropout voltage reference: An approach to buffered architectures with low sensitivityHamed Aminzadeh, Reza Lotfi, Khalil Mafinezhad. 849-852 [doi]
- A direct bitstream manipulation approach for Virtex4-based evolvable systemsFabio Cancare, Marco D. Santambrogio, Donatella Sciuto. 853-856 [doi]
- Computation in communication: Spike event coding for programmable analog arraysLuiz Carlos Gouveia, Thomas Jacob Koickal, Alister Hamilton. 857-860 [doi]
- Crossbar switch matrix for floating-gate programming over large current rangesBrian P. Degnan, Brian J. Duffy, Paul E. Hasler. 861-864 [doi]
- A novel scalable and reconfigurable emulation platform for embedded systems verificationM. Di Marzio, Michelangelo Grosso, Matteo Sonza Reorda, Luca Sterpone, G. Audisio, Marco Sabatini. 865-868 [doi]
- Voltage-mode quaternary FPGAs: An evaluation of interconnectionsCristiano Lazzari, Paulo F. Flores, José Monteiro, Luigi Carro. 869-872 [doi]
- A low voltage CMOS rectifier for wirelessly powered devicesQiang Li, Renyuan Zhang, Zhangcai Huang, Yasuaki Inoue. 873-876 [doi]
- Fully integrated ultra-low-power asynchronously driven step-down DC-DC converterOmar Al-Terkawi Hasib, Mohamad Sawan, Yvon Savaria. 877-880 [doi]
- Circuit/system design space characterization of EER-based transmitter for 802.11a WLAN standardJordi Marchán, Eduard Barba, Lázaro Marco, Dragan Maksimovic, Eduard Alarcón. 881-884 [doi]
- Translayer optimized co-design of in-space microwave based wireless power transferElisenda Bou, Eduard Alarcón, Alvar Saenz-Otero, Christophe Mandy. 885-888 [doi]
- An ultra-low-voltage active rectifier for energy harvesting applicationsChristian Peters, Jonas Handwerker, Dominic Maurath, Yiannos Manoli. 889-892 [doi]
- POSFET devices based tactile sensing arraysRavinder S. Dahiya, Leandro Lorenzelli, Giorgio Metta, Maurizio Valle. 893-896 [doi]
- Fully on-chip temperature, process, and voltage sensorsShi-Wen Chen, Ming-Hung Chang, Wei-Chih Hsieh, Wei Hwang. 897-900 [doi]
- Genetic-based automated synthesis and optimization of MEMS accelerometers with sigma-delta controlChenxu Zhao, Tom J. Kazmierski. 901-904 [doi]
- Dual-line distance sensor with on-chip phase generator and suppression of ambient lightGerald Zach, Milos Davidovic, Horst Zimmermann. 905-908 [doi]
- Low-power charge sensitive amplifier for semiconductor scintillatorXiao Yun, Milutin Stanacevic, Serge Luryi. 909-912 [doi]
- Whitespace insertion for through-silicon via planning on 3-D SoCsWei Zhong, Song Chen, Takeshi Yoshimura. 913-916 [doi]
- Case Study: GPU-based implementation of sequence pair based floorplanning using CUDAWon Ha Choi, Xun Liu. 917-920 [doi]
- Performance-driven high-level synthesis with floorplan for GDR architectures and its evaluationAkira Ohchi, Nozomu Togawa, Masao Yanagisawa, Tatsuo Ohtsuki. 921-924 [doi]
- A floorplan method for asynchronous circuits with bundled-data implementation on FPGAsHiroshi Saito, Naohiro Hamada, Tomohiro Yoneda, Takashi Nanya. 925-928 [doi]
- Post-placement STI well width adjusting by geometric programming for device mobility enhancement in critical pathJing Li, Bo Yang, Qing Dong, Shigetoshi Nakatake. 929-932 [doi]
- Partial video encryption based on alternative integer transformsJeff Siu-Kei Au-Yeung, Shuyuan Zhu, Bing Zeng. 933-936 [doi]
- Composing better pictures in MDC: A multi-target total variational approachShuyuan Zhu, Jiying Wu, Bing Zeng. 937-940 [doi]
- Macroblock level hybrid temporal-spatial prediction for H.264/AVCMou Xiao, Pin Tao, Jianwen Chen, Wenting Wu, Jiangtao Wen. 941-944 [doi]
- Improving H.264/AVC video coding with adaptive coefficient suppressionZhengyi Luo, Li Song, Shibao Zheng. 945-948 [doi]
- Improved hybrid coding scheme for intra 4×4 residual block produced by H.264/AVCLi-li Wang, Wan-Chi Siu. 949-952 [doi]
- 4-Channel asynchronous bio-potential recording systemWei Tang, Chenxi Huang, Dongsoo Kim, Berin Martini, Eugenio Culurciello. 953-956 [doi]
- WiiEMG: A real-time environment for control of the Wii with surface electromyographyHarry Oppenheim, Robert S. Armiger, R. Jacob Vogelstein. 957-960 [doi]
- A novel energy-efficient stimuli generator for very-high impedance intracortical microstimulationSébastien Ethier, Mohamad Sawan, Mourad N. El-Gamal. 961-964 [doi]
- A current generator circuit for tripolar stimulation and insensitive to temperature and supply variationsXiao Liu, Andreas Demosthenous, Iasonas F. Triantis, Nick Donaldson. 965-968 [doi]
- Analog complex gammatone filter for cochlear implant channelsWannaya Ngamkham, Chutham Sawigun, Senad Hiseni, Wouter A. Serdijn. 969-972 [doi]
- Digital enhancement of frequency synthesizersMahmoud Ouda, Emad Hegazi, Hany Ragai. 973-976 [doi]
- EMI reduction by resonant clock distribution networksBehzad Mesgarzadeh, Atila Alvandpour. 977-980 [doi]
- An area efficient design methodology for SEU tolerant digital circuitsSohan Purohit, David Harrington, Martin Margala. 981-984 [doi]
- Analysis and optimization of sequential circuit element to combat single-event timing upsetsHamed Abrishami, Safar Hatami, Massoud Pedram. 985-988 [doi]
- ESD protection circuit for high-voltage CMOS ICs with improved immunity against transient-induced latchupMing-Dou Ker, Che-Lun Hsu, Wen-Yi Chen. 989-992 [doi]
- Two-dimensional partially differential cepstrum and minimum-phase sequence constructionSoo-Chang Pei, Huei-Shan Lin. 993-996 [doi]
- Non-fragile H∞ filter design for polytopic 2-D systems in Fornasini- Marchesini modelHuiling Xu, Zhiping Lin, Anamitra Makur. 997-1000 [doi]
- Application specific stability of 2-D Roesser model realizationsJörg Velten, Sam Schauland, Anton Kummert, Krzysztof Galkowski. 1001-1004 [doi]
- Multidimensional raster-scanned LC-ladder wave-digital filter hardware for directional filtering in space-timeArjuna Madanayake, Leonard T. Bruton. 1005-1008 [doi]
- State-space formulation of n-variable bilinear transformation for n-D systemsNatsuko Shiratori, Shi Yan, Hsin-Jang Shieh, Li Xu. 1009-1012 [doi]
- Optimizing throughput for limited receiver circuit powerJohan H. C. van den Heuvel, Jean-Paul M. G. Linnartz, Peter G. M. Baltus. 1013-1016 [doi]
- Gain and delay mismatches cancellation in LINC and polar transmittersCorinne Berland, Jean-François Bercher, Olivier Venard. 1017-1020 [doi]
- IQ mismatch compensation using time domain signal processing: A practical approachBijoy Bhukania, Sthanunathan Ramakrishnan, Yogesh Darwhekar. 1021-1024 [doi]
- How to choose the ADC resolution for short range low power communication?Amine Mezghani, Josef A. Nossek. 1025-1028 [doi]
- BER-optimal analog-to-digital converters for communication linksMinwei Lu, Naresh R. Shanbhag, Andrew C. Singer. 1029-1032 [doi]
- An improved wide-dynamic range tunable RF interference suppression notch filterSanghoon Park, Vincent W. Leung, Lawrence E. Larson. 1033-1036 [doi]
- Towards the realization of fractional step filtersTodd J. Freeborn, Brent Maundy, Ahmed S. Elwakil. 1037-1040 [doi]
- Tunable current-mode log-domain universal filterPipat Prommee, Montri Somdunyakanok, Krit Angkeaw, Kobchai Dejhan. 1041-1044 [doi]
- CMOS-based current-controlled DDCC and its applicationsPipat Prommee, Montri Somdunyakanok, Sompongse Toomsawasdi. 1045-1048 [doi]
- Current conveyor with very low output impedance voltage buffer for laboratory instrumentationVratislav Michal, Geoffroy Klisnick, Gérard Sou, Michel Redon, Jirí Sedlácek. 1049-1052 [doi]
- Decomposition of drain-current variation into gain-factor and threshold voltage variationsTakashi Sato, Takumi Uezono, Noriaki Nakayama, Kazuya Masu. 1053-1056 [doi]
- A successive approximation based process-invariant ring oscillatorXuan Zhang, Rajeev K. Dokania, Mustansir Yunus Mukadam, Alyssa B. Apsel. 1057-1060 [doi]
- A packet-based emulating platform with serializer/deserializer interface for heterogeneous IP verificationChih-Hsing Lin, Yung-Chang Chang, Wen-Chih Huang, Wei-Chih Lai, Ching-Te Chiu, Jen-Ming Wu, Shuo-Hung Hsu, Chun-Ming Huang, Chih-Chyau Yang, Shih-Lun Chen. 1061-1064 [doi]
- Temperature sensor placement in thermal management systems for MPSoCsFrancesco Zanini, David Atienza, Colin N. Jones, Giovanni De Micheli. 1065-1068 [doi]
- Exploiting time resolution in nanometre CMOS data convertersLuis Hernández, Andreas Wiesbauer. 1069-1072 [doi]
- On the characterization of limit cycle modes in oversampled data convertersSotir Ouzounov. 1073-1076 [doi]
- Extended modelling for time-encoding convertersArthur H. M. van Roermund, Foad Arfaei Malekzadeh, Mehdi Sarkeshi, Reza Mahmoudi. 1077-1080 [doi]
- Pulse-width modulation in sigma-delta modulatorsFrancisco Colodro Ruiz, Antonio Torralba. 1081-1084 [doi]
- All-digital differential VCO-based A/D conversionJorg Daniels, Wim Dehaene, Michiel Steyaert. 1085-1088 [doi]
- A differential 5:::th::: derivative Gaussian pulse generator for UWB transceiversOmid Salehi-Abari, Calvin Plett. 1089-1092 [doi]
- A Mode-I/Mode-III UWB LNA with programmable gain and 20 dB WLAN blocker rejection in 130nm CMOSSubhanshu Gupta, Daibashish Gangopadhyay, David J. Allstot. 1093-1096 [doi]
- Gated threshold compensated noncoherent PPM receiver for UWB impulse radioTamás Krébesz, Géza Kolumbán, Chi Kong Tse, Francis C. M. Lau. 1097-1100 [doi]
- A digitally programmable ring oscillator in the UWB rangeAndrea Gerosa, Silvia Soldà, Andrea Bevilacqua, Daniele Vogrig, Andrea Neviani. 1101-1104 [doi]
- The theoretical efficiency in digital envelope power amplifiers for WLAN OFDM polar transmittersPaul T. M. van Zeijl, Manel Collados. 1105-1108 [doi]
- A novel RFID tag chip with temperature sensor in standard CMOS processQi Zhang, Peng Feng, Shenghua Zhou, Zhiqing Geng, Nanjian Wu. 1109-1112 [doi]
- Configurable MCPW based inductor for mm-wave circuits and systemsGui Liu, Roc Berenguer, Abe Akhiyat, Keya Kamtikar, Yang Xu. 1113-1116 [doi]
- A novel sigma-delta fractional-N synthesizer architecture with fractional spur and quantization noise cancellationChun-Pang Wu, Hen-Wai Tsao, Jingshown Wu. 1117-1120 [doi]
- An adaptive body-bias low voltage low power LC VCOPinping Sun, Guoan Wang, Wayne H. Woods, Hailing Wang, Ya Jun Yu. 1121-1124 [doi]
- Active polyphase filter analysisMikko Kaltiokallio, Jussi Ryynänen, Saska Lindfors. 1125-1128 [doi]
- Double-threshold reversible data hidingGuorong Xuan, Yun Q. Shi, Jianzhong Teng, Xuefeng Tong, Peiqi Chai. 1129-1132 [doi]
- Data hiding in halftone images with secret-shared dot diffusionJing-Ming Guo, Jyun-Hao Huang. 1133-1136 [doi]
- High capacity reversible data hiding using the histogram modification of block imageHyang-Mi Yoo, Sang-Kwang Lee, Jae-Won Suh. 1137-1140 [doi]
- A SOT based digital audio coder using reference frame ordering methodYu-Lin Wang, Wei-Hsiang Liao, Alvin Wen-Yu Su. 1141-1144 [doi]
- Sub-Sampling Framework of Distributed Video CodingWenbo Xu, Zhiqiang He, Kai Niu, Jiaru Lin. 1145-1148 [doi]
- A bandwidth-efficient embedded compression algorithm using two-level rate control scheme for video coding systemYu-Hsuan Lee, Yi-Cheng Chen, Tsung-Han Tsai. 1149-1152 [doi]
- Parallel implementation of computing-intensive decoding algorithms of H.264 on reconfigurable SoCTongsheng Geng, Leibo Liu, Shouyi Yin, Min Zhu, Wen Jia, Shaojun Wei. 1153-1156 [doi]
- Nonlinear image restoration using recurrent radial basis function networkShengkui Zhao, Jianfei Cai, Zhihong Man. 1161-1164 [doi]
- Real-time multi-view rendering architecture for autostereoscopic displaysHsin-Jung Chen, Feng-Hsiang Lo, Fu-Chiang Jan, Sheng-Dong Wu. 1165-1168 [doi]
- An efficient denoising chip for the removal of impulse noiseChih-Yuan Lien, Pei-Yin Chen, Li-Yuan Chang, Yi-Ming Lin, Po-Kai Chang. 1169-1172 [doi]
- Semantic adaptation of consumer photo for mobile device accessWenyuan Yin, Jiebo Luo, Chang Wen Chen. 1173-1176 [doi]
- An efficient skipping method of H.264/AVC weighted prediction for various illuminating effectsHo Il Bang, Ji Ho Choi, Myung Hoon Sunwoo. 1177-1180 [doi]
- Advanced characterization of piezoresistive sensors for human body movement trackingGiancarlo Orengo, Giovanni Saggio, Stefano Bocchetti, Franco Giannini. 1181-1184 [doi]
- Automatic Configuration of a Medical Imaging System to Unknown Delays in Synchronous Input Data ChannelsCarlos Leong, João Paulo Teixeira, Isabel C. Teixeira, R. Bugalho, Manuel Ferreira, Pedro Rodrigues, J. C. Silva, Pedro Lousã, João Varela. 1185-1188 [doi]
- A Study on the impact of spectral variability in brain-computer interfaceKavitha P. Thomas, Cuntai Guan, Lau Chiew Tong, A. P. Vinod. 1189-1192 [doi]
- A VLSI neural monitoring system with ultra-wideband telemetry for awake behaving subjectsElliot Greenwald, Mohsen Mollazadeh, Nitish Thakor, Wei Tang, Eugenio Culurciello. 1193-1196 [doi]
- Ultra-high speed atomic force microscopy: Video-rate and beyondFathi M. Salem. 1197-1200 [doi]
- An efficient 13.56 MHz active back-telemetry rectifier in standard CMOS technologyGaurav Bawa, Alex Q. Huang, Maysam Ghovanloo. 1201-1204 [doi]
- Design of OOK system for wireless capsule endoscopyKihyun Kim, Sungho Lee, Eunil Cho, Junghee Choi, Sangwook Nam. 1205-1208 [doi]
- MOSFET-only Mixer/IIR filter with gain using parametric amplificationJosé Rui Custódio, João P. Oliveira, Luís B. Oliveira, João Goes, Erik Bruun. 1209-1212 [doi]
- Fully integrated UWB impulse transmitter and 402-to-405MHz super-regenerative receiver for medical implant devicesMuhammad Anis, Maurits Ortmanns, Norbert Wehn. 1213-1215 [doi]
- Wireless ECG detection system with low-power analog front-end circuit and bio-processing ZigBee firmwareYu-Cheng Su, Huan Chen, Ching-Lun Hung, Shuenn-Yuh Lee. 1216-1219 [doi]
- A high-gain, low-noise CMOS amplifier for sampled bio-potential recordingRobert Rieger, Yan-Ru Huang. 1220-1223 [doi]
- An ultra-compact and efficient Li-ion battery charger circuit for biomedical applicationsBruno Do Valle, Christian T. Wentz, Rahul Sarpeshkar. 1224-1227 [doi]
- CMOS current-copying neural stimulator with OTA-sharingRuslana Shulyzki, Karim Abdelhalim, Roman Genov. 1232-1235 [doi]
- A switched-capacitor Programmable Gain Amplifier optimized for motor control application using correlated double sampling techniqueAndre Vilas Boas, Fabio Lacerda, Alfredo Olmos. 1240-1243 [doi]
- Novel ultra low voltage transconductance amplifierYngvar Berg. 1244-1247 [doi]
- A micropower comparator for high power-efficiency hearing aid class D amplifiersLinfei Guo, Tong Ge, Joseph Sylvester Chang. 1248-1251 [doi]
- An interstage correlated double sampling technique for switched-capacitor gain stagesOmid Rajaee, Yue Hu, Manideep Gande, Tawfiq Musah, Un-Ku Moon. 1252-1255 [doi]
- Linear low-frequency filter using on-chip giga-ohm resistanceShinyu Chen, Robert Rieger. 1256-1259 [doi]
- Subthreshold current mode matrix determinant computation for analog signal processingStephen T. Kim, Jaehyouk Choi, Sungho Beck, Taejoong Song, Kyutae Lim, Joy Laskar. 1260-1263 [doi]
- Optimizing continuous-time filters driven by bang-bang signalsPaul-Peter Sotiriadis. 1264-1267 [doi]
- Low-voltage Bluetooth/ZigBee complex filter using current mirrorsCostas Laoudias, Costas Psychalinos. 1268-1271 [doi]
- A new concept of continuous-time narrow bandpass Q-varying filter with transient suppressionJacek Piskorowski, Miguel Ángel Gutiérrez de Anda. 1272-1275 [doi]
- SC biquad filter with hybrid utilization of OpAmp and comparator-based circuitMiguel A. Martins, Ka-Fai Un, Pui-In Mak, Rui Paulo Martins. 1276-1279 [doi]
- CMOS operational amplifiers with continuous-time capacitive common mode feedbackJaime Ramírez-Angulo, Ayesha Nargis, Ramón González Carvajal, Antonio J. López-Martín. 1280-1283 [doi]
- A highly accurate piezoelectric actuator driver IC for auto-focus in camera module of mobile phoneChanwoo Park, Sanghyun Cha, Yuenjoong Lee, Ohjo Kwon, Deukhee Park, Kyoungsoo Kwon, Jaeshin Lee. 1284-1287 [doi]
- A column readout channel for infrared and terahertz bolometers with direct analog to digital conversionMatteo Perenzoni, Fausto Borghetti, Lorenzo Gonzo. 1288-1291 [doi]
- Mixed signal phase sensitive detectionJonathan Tapson. 1292-1295 [doi]
- U-shaped slow-wave transmission lines in 0.18μm CMOSHeng-Chia Hsu, Kaushik Dasgupta, Nathan M. Neihart, Sudip Shekhar, Jeffrey S. Walling, David J. Allstot. 1296-1299 [doi]
- A high resolution metastability-independent two-step gated ring oscillator TDC with enhanced noise shapingSang-Hye Chung, Kyu-Dong Hwang, Won Young Lee, Lee-Sup Kim. 1300-1303 [doi]
- Three novel improved CMOS capacitance scaling schemesJesús Aguado Ruiz, Antonio J. López-Martín, Jaime Ramírez-Angulo. 1304-1307 [doi]
- A highly efficient transient and frequency-response simulation method for switching converters without using a SPICE-like analog simulatorYasuhiro Sugimoto. 1308-1311 [doi]
- Peak-to-peak jitter reduction technique for the Free-Running Period Synthesizer (FRPS)Marcel Siadjine Njinowa, Hung Tien Bui, François R. Boyer. 1312-1315 [doi]
- A low-power active switched-capacitor loop filter for phase locked loopsYu Song, Zeljko Ignjatovic. 1316-1319 [doi]
- On some properties of the output of a pulsed digital oscillator working with multiple resonancesElena Blokhina, Orla Feely, Jordi Ricart, Manuel Domínguez. 1320-1323 [doi]
- Nonlinearity and dynamics in RF Oscillators: Analysis and design implicationsJan-K. Bremer, Marco Reit, Jan Przytarski, Wolfgang Mathis. 1324-1327 [doi]
- A 2.4-GHz reference doubled fractional-N PLL with dual phase detector in 0.13-μm CMOSWoojae Lee, SeongHwan Cho. 1328-1331 [doi]
- Faster adaptive parallel diagnosis in the presence of intermittent faults (extended abstract)Kei Itoh, Toshinori Yamada. 1336-1339 [doi]
- Reducing offset errors in MITE systems by precise floating gate programmingCraig Schlottmann, Brian P. Degnan, David Abramson, Paul E. Hasler. 1340-1343 [doi]
- Heuristic algorithms for the marking construction problem of Petri netsSatoshi Taoka, Toshimasa Watanabe. 1344-1347 [doi]
- Control of inverted pendulum using adaptive neuro fuzzy inference structure (ANFIS)Ravi Chandra Tatikonda, Venkata Praveen Battula, Vijay Kumar. 1348-1351 [doi]
- Optimal stochastic resonance under low signal-to-noise ratio circumstancesDi He. 1352-1355 [doi]
- Exactly solvable chaotic circuitNed J. Corron, Mark T. Stahl, Jonathan N. Blakely. 1356-1359 [doi]
- Chaos glial network connected to Multi-Layer Perceptron for Solving Two-Spiral ProblemChihiro Ikuta, Yoko Uwate, Yoshifumi Nishio. 1360-1363 [doi]
- Stochastic delay differential equation and its application on communicationsMingdong Xu, Fan Wu, Henry Leung. 1364-1367 [doi]
- Synchronization phenomena in coupled logistic maps involving parametric forceHironori Kumeno, Yoshifumi Nishio. 1368-1371 [doi]
- A ±6ms-accuracy, 0.68mm:::2::: and 2.21μW QRS detection ASICHui Min Wang, You-Liang Lai, Mark C. Hou, Shih-Hsiang Lin, Brad S. Yen, Yu-Chieh Huang, Lei-Chun Chou, Shao-You Hsu, Sheng-Chieh Huang, Ming-Yie Jan. 1372-1375 [doi]
- The performance of visuo-motor coordination changes under force feedback assistance systemChin-Teng Lin, Chun-Ling Lin, Kuan-Chih Huang, Shi-An Chen, Jui-Hsin Tung. 1376-1379 [doi]
- Development of real-time wireless brain computer interface for drowsiness detectionShao-Hang Hung, Che-Jui Chang, Chih-Feng Chao, I-Jan Wang, Chin-Teng Lin, Bor-Shyh Lin. 1380-1383 [doi]
- Amplification circuit and microelectrode array for HL-1 Cardiomyocyte action potential measurementJianan Song, David Welch, Jennifer Blain Christen. 1384-1387 [doi]
- Epileptic seizure detection in grouped multi-channel EEG signal using ICA and wavelet transformHan-Yen Chang, Sheng-Chih Yang, Sheng-Hsing Lan, Pau-Choo Chung. 1388-1391 [doi]
- Live demonstration: Asynchronous time-based image sensor (ATIS) camera with full-custom AE processorChristoph Posch, Daniel Matolin, Rainer Wohlgenannt, Michael Hofstätter, Peter Schön, Martin Litzenberger, Daniel Bauer, Heinrich Garn. 1392 [doi]
- Live demonstration: Neuro-inspired system for realtime vision tilt correctionAngel Jiménez-Fernandez, Juan Luis Fuentes-del-Bosh, Rafael Paz-Vicente, Alejandro Linares-Barranco, Gabriel Jiménez. 1393 [doi]
- Neuro-inspired system for real-time vision sensor tilt correctionAngel Jiménez-Fernandez, Juan Luis Fuentes-del-Bosh, Rafael Paz-Vicente, Alejandro Linares-Barranco, Gabriel Jiménez. 1394-1397 [doi]
- Live demonstration: Real time objects tracking using a bio-inspired processing cascade architectureFrancisco Gomez-Rodriguez, Lourdes Miro-Amarante, Fernando Díaz del Río, Alejandro Linares-Barranco, Gabriel Jiménez. 1398 [doi]
- Real time multiple objects tracking based on a bio-inspired processing cascade architectureFrancisco Gomez-Rodriguez, Lourdes Miro-Amarante, Fernando Díaz del Río, Alejandro Linares-Barranco, Gabriel Jiménez. 1399-1402 [doi]
- Live demonstration: A 64×64 pixels UWB wireless temporal-difference digital image sensorShoushun Chen, Wei Tang, Eugenio Culurciello. 1403 [doi]
- A 64×64 pixels UWB wireless temporal-difference digital image sensorShoushun Chen, Wei Tang, Eugenio Culurciello. 1404-1407 [doi]
- Live demonstration: Dynamic stereo vision system for real-time trackingStephan Schraml, Ahmed Nabil Belbachir, Nenad Milosevic, Peter Schön. 1408 [doi]
- Dynamic stereo vision system for real-time trackingStephan Schraml, Ahmed Nabil Belbachir, Nenad Milosevic, Peter Schön. 1409-1412 [doi]
- Live demonstration: Intelligent ubiquitous sensor network for sound acquisitionKoji Kugata, Tomoya Takagi, Hiroki Noguchi, Masahiko Yoshimoto, Hiroshi Kawaguchi. 1413 [doi]
- Intelligent ubiquitous sensor network for sound acquisitionKoji Kugata, Tomoya Takagi, Hiroki Noguchi, Masahiko Yoshimoto, Hiroshi Kawaguchi. 1414-1417 [doi]
- Live demonstration: FPGA-based real-time acoustic camera prototypeB. Zimmermann, Christoph Studer. 1418 [doi]
- FPGA-based real-time acoustic camera prototypeB. Zimmermann, Christoph Studer. 1419 [doi]
- Live demonstration: The self-tuned regenerative electromechanical parametric amplifierJonathan Tapson, Tara Julia Hamilton, André van Schaik. 1423 [doi]
- The self-tuned regenerative electromechanical arametric amplifier: A model for Active amplification in the cochleaJonathan Tapson, Tara Julia Hamilton, André van Schaik. 1424-1427 [doi]
- Overview: Emerging technologies on giga-scale FPGA implementatHai-Gang Yang. 1428-1431 [doi]
- Mixed-signal system-on-chip verification using a recursively-verifying-modeling (RVM) methodologyC.-J. Richard Shi. 1432-1435 [doi]
- Asynchronous FPGA architecture with distributed controlDelong Shang, Fei Xia, Alexandre Yakovlev. 1436-1439 [doi]
- 60 GHz meta-material wideband antenna for FPGA Giga bit data transmissionYing Peng, Zhirun Hu. 1440-1443 [doi]
- cFPGA: CNT emerging memory-based FPGAWei Wang, Tom T. Jing, Brian Butcher. 1444-1447 [doi]
- Two-step junction-splitting SAR analog-to-digital converterWenhuan Yu, Jiaming Lin, Gabor C. Temes. 1448-1451 [doi]
- Energy-efficient time-interleaved and pipelined SAR ADCsJiaming Lin, Wenhuan Yu, Gabor C. Temes. 1452-1455 [doi]
- Capacitor scaling for low-power design of cyclic analog-to-digital convertersMaryam Zaare , Reza Lotfi, Mohammad Maymandi-Nejad. 1456-1459 [doi]
- A radix-3 SAR analog-to-digital converterShankar Thirunakkarasu, Bertan Bakkaloglu. 1460-1463 [doi]
- Capacitor array structure and switching control scheme to reduce capacitor mismatch effects for SAR analog-to-digital convertersYoung Joo Lee, In-Cheol Park. 1464-1467 [doi]
- Closed-form analysis of DC noise immunity in subthreshold CMOS logic circuitsMassimo Alioto. 1468-1471 [doi]
- Power gating for ultra-low voltage nanometer ICsKyung Ki Kim, Haiqing Nan, Ken Choi. 1472-1475 [doi]
- Ultra low voltage static carry generate circuitYngvar Berg. 1476-1479 [doi]
- Multiobjective optimization for transistor sizing sub-threshold CMOS logic standard cellsMatthias W. Blesken, Sven Lütkemeier, Ulrich Rückert. 1480-1483 [doi]
- Robustness-aware sleep transistor engineering for power-gated nanometer subthreshold circuitsDavid Bol, Cédric Hocquet, Denis Flandre, Jean-Didier Legat. 1484-1487 [doi]
- A 128/256-point pipeline FFT/IFFT processor for MIMO OFDM system IEEE 802.16eSimeng Li, Huxiong Xu, Wenhua Fan, Yun Chen, Xiaoyang Zeng. 1488-1491 [doi]
- High-throughput QR decomposition for MIMO detection in OFDM systemsZheng-Yu Huang, Pei-Yun Tsai. 1492-1495 [doi]
- A multiple code-rate turbo decoder based on reciprocal dual trellis architectureChen-Yang Lin, Cheng-Chi Wong, Hsie-Chia Chang. 1496-1499 [doi]
- Harvesting a clock from a GSM signal for the wake-up of a wireless sensor networkJonathan K. Brown, David D. Wentzloff. 1500-1503 [doi]
- Phase difference and frequency offset estimation for collaborative beamforming in sensor networksSerkan Sayilir, Yung-Hsiang Lu, Dimitrios Peroulis, Y. Charlie Hu, Byunghoo Jung. 1504-1507 [doi]
- Accuracy and power tradeoff in spike sorting microsystems with cubic spline interpolationYun-Yu Chen, Tung-Chien Chen, Liang-Gee Chen. 1508-1511 [doi]
- Optimization of bipolar and tetrapolar impedance biosensorsPanagiotis Kassanos, Andreas Demosthenous, Richard H. Bayford. 1512-1515 [doi]
- Development of water-soluble sono/photo-sensitive nanopartices for cancer treatmentYongde Meng, Chunpu Zou, Min Huang, Jie Chen, James Xing. 1516-1519 [doi]
- Fabrication and electrical characteristics of memristors with TiO2/TiO2+x active layersThemistoklis Prodromakis, Kostis Michelakis, Chris Toumazou. 1520-1522 [doi]
- An integrated patch-clamp system with dual InputPujitha Weerakoon, Fred J. Sigworth, Peter Kindlmann, Joseph Santos-Sacchi, Youshan Yang, Eugenio Culurciello. 1523-1526 [doi]
- Use of a continuation method for analyzing startup circuitsWen Hou, Michael M. Green. 1527-1530 [doi]
- A qualitative analysis of a complementary differential LC injection-locked frequency divider based on direct injectionSaeid Daneshgar, Michael Peter Kennedy. 1531-1534 [doi]
- Estimating the locking range of analog dividers through a phase-domain macromodelPaolo Maffezzoni, Dario D Amore, Saeid Daneshgar, Michael Peter Kennedy. 1535-1538 [doi]
- A fast procedure for canonical ambiguity groups determination in nonlinear analog circuitsBarbara Cannas, Alessandra Fanni, Augusto Montisci. 1539-1542 [doi]
- Experimental validation of a novel adaptive controller for piecewise affine systemsMario di Bernardo, Carlos Ildefonso Hoyos Velasco, Umberto Montanaro, Stefania Santini. 1543-1546 [doi]
- Robust face recognition using subface hidden Markov modelsShih-Ming Huang, Jar-Ferr Yang, Shih-Cheng Chang. 1547-1550 [doi]
- Directional Variance: A measure to find the directionality in a given image segmentDakala Jayachandra, Anamitra Makur. 1551-1554 [doi]
- Local affine motion prediction for H.264 without extra overheadHoi-Kok Cheung, Wan-Chi Siu. 1555-1558 [doi]
- Video bsckground inpainting using dynamic texture synthesisChia-Wen Lin, Nai-Chia Cheng. 1559-1562 [doi]
- Analysis of template matching prediction and its application to parametric overlapped block motion compensationTse-Wei Wang, Yi-Wen Chen, Wen-Hsiao Peng. 1563-1566 [doi]
- A wide-input linear range sub-threshold transconductor for sub-Hz filteringChutham Sawigun, Dipankar Pal, Andreas Demosthenous. 1567-1570 [doi]
- A rail-to-rail full clock fully differential rectifier and sample-and-hold amplifierAdnan Harb. 1571-1574 [doi]
- A new rail-to-rail comparator with adaptive power control for low power SAR ADCs in biomedical applicationSung-Min Chin, Chih-Cheng Hsieh, Chin-Fong Chiu, Hann-Huei Tsai. 1575-1578 [doi]
- Single Miller compensation using inverting current buffer for multi-stage amplifiersAnnajirao Garimella, M. Wasequr Rashid, Paul M. Furth. 1579-1582 [doi]
- Compact low-voltage CMOS current-mode multiplier/dividerAntonio J. López-Martín, Carlos A. De La Cruz Bias, Jaime Ramírez-Angulo, Ramón González Carvajal. 1583-1586 [doi]
- Multi-chains encoding scheme in low-cost ATEGong-Han Chen, Po-Han Wu, Jiann-Chyi Rau. 1587-1590 [doi]
- Delay analysis of sub-path on fabricated chips by several path-delay testsTakanobu Shiki, Yasuhiro Takashima, Yuichi Nakamura. 1595-1598 [doi]
- Neural network based on-chip thermal simulatorPratyush Kumar, David Atienza. 1599-1602 [doi]
- Temperature and power measurement of modern dual core processor by infrared thermographyFarnoos Farrokhi Farkhani, Farah A. Mohammadi. 1603-1606 [doi]
- Structurally regular integer discrete cosine transform for low-bit-word-length coefficientsTaizo Suzuki, Masaaki Ikehara. 1607-1610 [doi]
- Modified Discrete Fourier Transforms for fast convolution and adaptive filteringChandrasekhar Radhakrishnan, W. Kenneth Jenkins. 1611-1614 [doi]
- A novel approach for FFT data reorderingMarwan A. Jaber, Daniel Massicotte. 1615-1618 [doi]
- On Hilbert-pairs from non-minimum phase Daubechies filtersDavid B. H. Tay, Jingxin Zhang. 1619-1622 [doi]
- Comparison of Haar wavelet-based and Poisson-based numerical integration techniquesPeter J. Hampton, Pan Agathoklis. 1623-1626 [doi]
- A 2.4 GHz reference-less wireless receiver for 1Mbps QPSK demodulationWei-Zen Chen, Wei-Wen Ou, Tai-You Lu, Shun-Tien Chou, Song-Yu Yang. 1627-1630 [doi]
- A 2.2mW CMOS LNA for 6-8.5GHz UWB receiversChang-Ching Wu, Xuening Sun, Alberto L. Sangiovanni-Vincentelli, Jan M. Rabaey. 1631-1634 [doi]
- A 1.6 mW 5.4 GHz transformer-feedback gm-boosted current-reuse LNA in 0.18/μm CMOSDaibashish Gangopadhyay, Sudip Shekhar, Jeffrey S. Walling, David J. Allstot. 1635-1638 [doi]
- Novel MOSFET-only bandgap voltage referenceCarlos Dualibe. 1639-1642 [doi]
- Low voltage CMOS bandgap references with temperature compensated reference current outputEdward K. F. Lee. 1643-1646 [doi]
- 32-bit Configurable bias current generator with sub-off-current capabilityTobi Delbrück, Raphael Berner, Patrick Lichtsteiner, Carlos Dualibe. 1647-1650 [doi]
- A compact adaptive slope compensation circuit for Current-Mode DC-DC converterKimio Shibata, Cong-Kha Pham. 1651-1654 [doi]
- Enhanced RF to DC CMOS rectifier with capacitor-bootstrapped transistorMahsa Ebrahimian, Kamal El-Sankary, Ezz I. El-Masry. 1655-1658 [doi]
- On neuromorphic spiking architectures for asynchronous STDP memristive systemsJosé Antonio Pérez-Carrasco, Carlos Zamarreño-Ramos, Teresa Serrano-Gotarredona, Bernabé Linares-Barranco. 1659-1662 [doi]
- Development of a functional model for the Nanoparticle-Organic Memory transistorOlivier Bichler, Weisheng Zhao, Christian Gamrat, Fabien Alibart, Stéphane Pleutin, Dominique Vuillaume. 1663-1666 [doi]
- Carbon nanotube-based programmable devices for adaptive architecturesGuillaume Agnus, Ariana Filoramo, Jean-Philippe Bourgoin, Vincent Derycke, Weisheng Zhao. 1667-1670 [doi]
- Robustness of logic gates and reconfigurability of neuromorphic switching networksZackary Chiragwandi, Jonas Sköldberg, Göran Wendin. 1671-1674 [doi]
- Characterization of memristive Poly-Si Nanowires via empirical physical modellingNikolaos Archontas, Julius Georgiou, M. Haykel Ben Jamaa, Sandro Carrara, Giovanni De Micheli. 1675-1678 [doi]
- Block-based image steganalysis: Algorithm and performance evaluationSeongho Cho, Byung-Ho Cha, Jingwei Wang, C. C. Jay Kuo. 1679-1682 [doi]
- Mobile camera identification using demosaicing featuresHong Cao, Alex C. Kot. 1683-1686 [doi]
- Identification of cut & paste tampering by means of double-JPEG detection and image segmentationMauro Barni, Andrea Costanzo, Lara Sabatini. 1687-1690 [doi]
- Color based soft biometry for hooligans detectionAngela D Angelo, Jean-Luc Dugelay. 1691-1694 [doi]
- Resolution variant visual cryptography for street view of Google MapsJonathan Weir, Weiqi Yan. 1695-1698 [doi]
- Experimental study of leakage-delay trade-off in Germanium pMOSFETs for logic circuitsPaolo Magnone, Felice Crupi, Massimo Alioto, Ben Kaczer. 1699-1702 [doi]
- 32nm and beyond Multi-VT Ultra-Thin Body and BOX FDSOI: From device to circuitOlivier Thomas, J.-P. Noel, Claire Fenouillet-Béranger, M.-A. Jaud, J. Dura, P. Perreau, F. Boeuf, François Andrieu, D. Delprat, F. Boedt, Konstantin Bourdelle, Bich-Yen Nguyen, Andrei Vladimirescu, Amara Amara. 1703-1706 [doi]
- SRAM design in fully-depleted SOI technologyBorivoje Nikolic, Changhwan Shin, Min-Hee Cho, Xin Sun, Tsu-Jae King Liu, Bich-Yen Nguyen. 1707-1710 [doi]
- Design of a CNFET array for sensing and control in P450 based biochips for multiple drug detectionShashikanth Bobba, Sandro Carrara, Giovanni De Micheli. 1711-1714 [doi]
- Design aspects of carry lookahead adders with vertically-stacked nanowire transistorsDavide Sacchetto, M. Haykel Ben Jamaa, Giovanni De Micheli, Yusuf Leblebici. 1715-1718 [doi]
- Offset cancellation for zero crossing based circuitsAlbert Chow, Hae-Seung Lee. 1719-1722 [doi]
- High-speed differential resistor ladder for A/D convertersDavide De Caro, Marino Coppola, Nicola Petra, Ettore Napoli, Antonio G. M. Strollo, Valeria Garofalo. 1723-1726 [doi]
- 13-bit 205 MS/s time-interleaved pipelined ADC with digital background calibrationMohamed Mohsen, Mohamed Dessouky. 1727-1730 [doi]
- Pseudo-differential zero-crossing-based circuit with differential error suppressionTawfiq Musah, Un-Ku Moon. 1731-1734 [doi]
- A 1.6-GHz, 54-dB signal-to-noise and distortion ratio pipeline A/D converterLuca Picolli, Lorenzo Crespi, Faouzi Chaahoub, Piero Malcovati, Andrea Baschirotto. 1735-1738 [doi]
- Comparative analysis of power yield improvement under process variation of sub-threshold flip-flopsHassan Mostafa, Mohab Anis, Mohamed I. Elmasry. 1739-1742 [doi]
- A novel variation insensitive clock distribution methodologyEzz El-Din O. Hussein, Yehea I. Ismail. 1743-1746 [doi]
- Statistical timing yield improvement of dynamic circuits using negative capacitance techniqueHassan Mostafa, Mohab Anis, Mohamed I. Elmasry. 1747-1750 [doi]
- Globally integrated power and clock distribution networkRenatas Jakushokas, Eby G. Friedman. 1751-1754 [doi]
- A 55nm 1GHz one-cycle-locking de-skewing circuitJinn-Shyan Wang, Chun-Yuan Cheng, Je-Ching Liu, Yu-Chia Liu, Yi-Ming Wang. 1755-1758 [doi]
- Low-power design of variable block-size LDPC decoder using nanometer technologyChih-Hung Lin, Alex Chien-Lin Huang, Robert Chen-Hao Chang, Kuang-Hao Lin. 1759-1762 [doi]
- Implementation of enhanced CDMA utilizing low complexity joint detection with iterative processingRussell Dodd, Christian Schlegel, Vincent C. Gaudet. 1763-1766 [doi]
- Efficient FPGA implementation of a wireless communication system using Bluetooth connectivityHasan Tana, Abdul N. Sazish, Afandi Ahmad, Mhd Saeed Sharif, Abbes Amira. 1767-1770 [doi]
- A FIR baseband filter for high data rate 60-GHz wireless communicationsJonathan Müller, Andreia Cathelin, Ali M. Niknejad, Andreas Kaiser. 1771-1774 [doi]
- On-the-fly speed and power scaling of an E-TSPC dual modulus prescaler using forward body bias in 0.25 μm CMOSSeungsoo Kim, Jaewook Shin, Hyunchol Shin. 1775-1778 [doi]
- Portable biomarker detection with magnetic nanotagsDrew A. Hall, Shan X. Wang, Boris Murmann, Richard S. Gaster. 1779-1782 [doi]
- 3D Oncological PET volume analysis using CNN and LVQNNMhd Saeed Sharif, Abbes Amira, Habib Zaidi. 1783-1786 [doi]
- The SAW resonators on LiNb03 for mass-sensing applicationsHsu-Cheng Ou, Mona E. Zaghloul. 1787-1790 [doi]
- Is SystemC-AMS an appropriate promoter for the modeling and simulation of bio-compatible systems?François Pêcheux, Morgan Madec, Christophe Lallement. 1791-1794 [doi]
- The extended ear type system and possible applicationsKoranan Limpaphayom, Robert W. Newcomb. 1795-1798 [doi]
- Narrowband interference reduction in UWB systems based on spreading sequence spectrum shapingMauro Mangia, Riccardo Rovatti, Gianluca Setti. 1799-1802 [doi]
- Spectral analysis of Internet topology graphsLaxmi Subedi, Ljiljana Trajkovic. 1803-1806 [doi]
- On two-directional orthogonal ray graphsAnish Man Singh Shrestha, Satoshi Tayu, Shuichi Ueno. 1807-1810 [doi]
- Image processing using diffusion processesS. Morfu. 1811-1814 [doi]
- A heuristic solution to the optimisation of flutter control in compression systems (and to some more binary quadratic programming problems) via ΔΣ modulation circuitsSergio Callegari, Federico Bizzarri. 1815-1818 [doi]
- Streaming capacity in multi-channel P2P VoD systemsYifeng He, Ling Guan. 1819-1822 [doi]
- Joint source channel coding with Hermitian symmetric DFT codesA. Anil Kumar, Anamitra Makur. 1823-1826 [doi]
- Multiple-description video coding based on JPEG 2000 MQ-coder registersAngelo M. Arrifano, Manuela Pereira, Marc Antonini, Mário M. Freire. 1827-1830 [doi]
- On-the-fly tone mapping for backward-compatible high dynamic range image/video compressionZicong Mai, Hassan Mansour, Rafal Mantiuk, Panos Nasiopoulos, Rabab Kreidieh Ward, Wolfgang Heidrich. 1831-1834 [doi]
- An entropy coding method for floating-point texture coordinates of 3D meshTong Zhou, Yong Liu, Quqing Chen, Kangying Cai, Jun Teng, Zhibo Chen. 1835-1838 [doi]
- A 250MHz-to-4GHz Δ-Σ fractional-N frequency synthesizer with adjustable duty cycleChen-Wei Huang, Ping Gui. 1839-1842 [doi]
- An effective phase detector for phase-locked loops with wide capture range and fast acquisition timeChi-Sheng Lin, Ting-Hsu Chien, Chin-Long Wey. 1843-1846 [doi]
- 17 Gb/s VCSEL driver using double-pulse asymmetric emphasis technique in 90-nm CMOS for optical interconnectionKenichi Ohhata, Hironori Imamura, Toshinobu Ohno, Takaya Taniguchi, Kiichi Yamashita, Toru Yazaki, Norio Chujo. 1847-1850 [doi]
- A power amplifier with minimal efficiency degradation under back-offNitesh Singhal, Nitin Nidhi, Sudhakar Pamarti. 1851-1854 [doi]
- Miniaturized CMOS thermal sensor array for temperature gradient measurement in microprocessorsKosta Luria, Joseph Shor. 1855-1858 [doi]
- Partitioning and synthesis for hybrid architecture simulatorsZhuo Ruan, David A. Penry. 1859-1862 [doi]
- Automatic communication synthesis with hardware sharing for design space explorationYuki Ando, Seiya Shibata, Shinya Honda, Hiroyuki Tomiyama, Hiroaki Takada. 1863-1866 [doi]
- State-dependent changeable scan architecture against scan-based side channel attacksRyuta Nara, Hiroshi Atobe, Youhua Shi, Nozomu Togawa, Masao Yanagisawa, Tatsuo Ohtsuki. 1867-1870 [doi]
- Towards a comprehensive and systematic classification of hardware TrojansJeyavijayan Rajendran, Efstratios Gavas, Jorge Jimenez, Vikram Padman, Ramesh Karri. 1871-1874 [doi]
- Fault and simple power attack resistant RSA using Montgomery modular multiplicationApostolos P. Fournaris. 1875-1878 [doi]
- A subspace-based method for DOA estimation of uniform linear array in the presence of mutual couplingBin Liao, Zhiguo Zhang, Shing-Chow Chan. 1879-1882 [doi]
- A 22.4 mW competitive fuzzy edge detection processor for volume renderingJoonsoo Kwon, Minsu Kim, Jinwook Oh, Hoi-Jun Yoo. 1883-1886 [doi]
- Semi-blind CFO, channel estimation and data detection for OFDM systems over doubly selective channelsLanlan He, Shaodan Ma, Yik-Chung Wu, Tung-Sang Ng. 1887-1890 [doi]
- A group of macroblock based motion estimation algorithm supporting adaptive search range for H.264 video codingChang-Hung Tsai, Kheng-Joo Tan, Ching-Lung Su, Jiun-In Guo. 1891-1894 [doi]
- Super-resolution technique for thermography with dual-camera systemShingo Chikamatsu, Tomohiro Nakaya, Masakazu Kouda, Nobutaka Kuroki, Tetsuya Hirose, Masahiro Numa. 1895-1898 [doi]
- Fully integrated 9 GHz CMOS VCO with very low phase noiseKai Hu, Frank Herzel, Christoph Scheytt. 1899-1902 [doi]
- A thorough analysis of the tank quality factor in LC oscillators with switched capacitor banksStefano Dal Toso, Andrea Bevilacqua, Andrea Gerosa, Andrea Neviani. 1903-1906 [doi]
- Reliability study of a low-voltage Class-E power amplifier in 130nm CMOSJonas Fritzin, Timmy Sundström, Ted Johansson, Atila Alvandpour. 1907-1910 [doi]
- A switch mode resonating H-Bridge polar transmitter using RF ΣΔ modulationLiang Rong, Fredrik Jonsson, Li-Rong Zheng. 1911-1914 [doi]
- Efficiency enhancement and linearity trade-offs for cascode vs. common-emitter SiGe power amplifiers in WiMAX polar transmittersYan Li, Jerry Lopez, Donald Y. C. Lie, Kevin Chen, Stanley Wu, Tzu-Yi Yang. 1915-1918 [doi]
- PCA application to frequency reduction for fault diagnosis in analog and mixed electronic circuitDamian Grzechca, Jerzy Rutkowski, Tomasz Golonek. 1919-1922 [doi]
- Wavelet analysis of current measurements for mixed-signal circuit testingMichael Dimopoulos, Dimitris K. Papakostas, Basilios D. Vassios, Alkis A. Hatzopoulos. 1923-1926 [doi]
- Fully integrated and reconfigurable architecture for coherent self-testing of IQ ADCsEdinei Santin, Luís B. Oliveira, Blazej Nowacki, João Goes. 1927-1930 [doi]
- A low-jitter supply-regulated charge pump phase-locked loop with built-in test and calibrationWimol San-Um, Masayoshi Tachibana. 1931-1934 [doi]
- Phase control of triangular stimulus generator for ADC BISTJingbo Duan, Degang Chen, Randall L. Geiger. 1935-1938 [doi]
- Real-time multi-board architecture for analog spiking neural networksSylvain Saïghi, Jean Tomas, Yannick Bornat, Bilel Belhadj, Olivia Malot, Sylvie Renaud. 1939-1942 [doi]
- Neural dynamics in reconfigurable siliconArindam Basu, Shubha Ramakrishnan, Paul E. Hasler. 1943-1946 [doi]
- A wafer-scale neuromorphic hardware system for large-scale neural modelingJohannes Schemmel, Daniel Brüderle, Andreas Grübl, Matthias Hock, Karlheinz Meier, Sebastian Millner. 1947-1950 [doi]
- Spike-based learning with a generalized integrate and fire silicon neuronGiacomo Indiveri, Fabio Stefanini, Elisabetta Chicca. 1951-1954 [doi]
- Overview: Memristive devices, circuits and systemsGarrett S. Rose. 1955-1958 [doi]
- Biologically self-assembled memristive circuit elementsNathaniel C. Cady, Magnus Bergkvist, Nicholas M. Fahrenkopf, Phillip Z. Rice, Joseph Van Nostrand. 1959-1962 [doi]
- FPGA based on integration of memristors and CMOS devicesWei Wang, Tom T. Jing, Brian Butcher. 1963-1966 [doi]
- Hybrid CMOS/memristor circuitsDmitri B. Strukov, Duncan R. Stewart, Julien Borghetti, Xuema Li, Matthew Pickett, Gilberto Medeiros-Ribeiro, Warren Robinett, Gregory S. Snider, John Paul Strachan, Wei Wu, Qiangfei Xia, J. Joshua Yang, R. Stanley Williams. 1967-1970 [doi]
- Capacitor bank design for wide tuning range LC VCOs: 850MHz-7.1GHz (157 )Bodhisatwa Sadhu, Ramesh Harjani. 1975-1978 [doi]
- On-chip biased voltage-controlled oscillator with temperature compensation of the oscillation amplitude for robust I/Q generationAntonio J. Ginés, Ricardo Doldán, Manuel J. Barragan Asian, Adoración Rueda, Eduardo J. Peralías. 1979-1982 [doi]
- A wide-band digitally controlled ring oscillatorLiangge Xu, Kari Stadius, Jussi Ryynänen. 1983-1986 [doi]
- Merged Digitally Controlled Oscillator and Time to Digital Converter for TV band ADPLLWissam Altabban, Patricia Desgreys, Hervé Petit, Karim Ben Kalaia, Laure Rolland du Roscoat. 1987-1990 [doi]
- Multi-standard/multi-band distributed VCO based on the switched-cells tuning technique for SDR applicationsFrancesco Cannone, Gianfranco Avitabile, Damiano Cascella. 1991-1994 [doi]
- A codesign synthesis from an MPEG-4 decoder dataflow descriptionNicolas Siret, Ismaïl Sabry, Jean-François Nezan, Mickaël Raulet. 1995-1998 [doi]
- Digitally-controlled RF passive attenuator in 65 nm CMOS for mobile TV tuner ICsAhmed Youssef, James Haslett, Edward Youssoufian. 1999-2002 [doi]
- Reconfigurable architecture design of motion compensation for multi-standard video codingGwo Giun Lee, Wei-Chiao Yang, Min-Shan Wu, He-Yuan Lin. 2003-2006 [doi]
- A high throughput VLSI design with hybrid memory architecture for H.264/AVC CABAC decoderYuan-Hsin Liao, Gwo-Long Li, Tian-Sheuan Chang. 2007-2010 [doi]
- A reconfigurable multi-processor SoC for media applicationsMin Zhu, Leibo Liu, Shouyi Yin, Yansheng Wang, Wenjie Wang, Shaojun Wei. 2011-2014 [doi]
- Fully integrated 500uW speech detection wake-up circuitTobi Delbrück, Thomas Koch, Raphael Berner, Hynek Hermansky. 2015-2018 [doi]
- A new deflection shape function for square membrane CMUT designMosaddequr Rahman, Sazzadur Chowdhury. 2019-2022 [doi]
- A SAW-based liquid sensor with identification for wireless applicationsFarid Hassani, Shahrokh Ahmadi, Can E. Korman, Mona E. Zaghloul. 2023-2026 [doi]
- Event-based 64-channel binaural silicon cochlea with Q enhancement mechanismsShih-Chii Liu, André van Schaik, Bradley A. Minch, Tobi Delbrück. 2027-2030 [doi]
- Integrated low voltage and low power CMOS circuits for optical sensing of diffraction based micromachined microphoneMuhammad Shakeel Qureshi, Arindam Basu, Baris Bicen, Levent Degertekin, Paul E. Hasler. 2031-2034 [doi]
- A note on the dichotomy of limit sets for cooperative CNNs with delaysMauro Di Marco, Mauro Forti, Massimo Grazzini, Luca Pancioni. 2035-2038 [doi]
- Retinal approaching object detector model implementation and validationÁkos Zarándy, Tamás Fülöp. 2039-2042 [doi]
- A camera based closed loop control system for keyhole welding processes: Algorithm comparisonLeonardo Nicolosi, Ronald Tetzlaff, Felix Abt, Andreas Blug, Heinrich Höfler. 2043-2046 [doi]
- Locally connected oscillatory networks acting as fully connected oscillatory networksFernando Corinto, Marco Gilli, Tamás Roska. 2047-2050 [doi]
- Cellular nanoscale network cell with memristors for local implication logic and synapsesMika Laiho, Eero Lehtonen. 2051-2054 [doi]
- A fully integrated dual band transceiver for IEEE 802.11a/b/g/j/n WLAN applications using hybrid up/down conversion architectureDong-Ok Han, Jeong-Hoon Kim, Kwang-Du Lee, Sang-Gyu Park, Eung-Ju Kim. 2055-2058 [doi]
- Transceiver parameter detection using a high conversion gain RF amplitude detectorSleiman Bou Sleiman, Mohammed Ismail. 2059-2062 [doi]
- Accurate time-variant analysis of a current-reuse 2.2 GHz 1.3 mW CMOS front-endMatteo Camponeschi, Andrea Bevilacqua, Andrea Neviani, Pietro Andreani. 2063-2066 [doi]
- Low complexity compensation of frequency dependent I/Q imbalance and carrier frequency offset for direct conversion receiversLeonardo Lanante, Masayuki Kurosaki, Hiroshi Ochi. 2067-2070 [doi]
- A fractional-N frequency synthesizer for cellular and short range multi-standard wireless receiverDeping Huang, Jin Zhou, Wei Li, Ning Li, Junyan Ren. 2071-2074 [doi]
- A dual-mode neural stimulator capable of delivering constant current in current-mode and high stimulus charge in semi-voltage-modeXiao Liu, Andreas Demosthenous, Nick Donaldson. 2075-2078 [doi]
- Hyperspectral reconstruction in biomedical imaging using terahertz systemsZhimin Xu, Edmund Y. Lam. 2079-2082 [doi]
- Sub-microwatt correlation integral processor for implantable closed-loop epileptic neuromodulatorYu-Hsin Chen, Tung-Chien Chen, Tsung-Hsueh Lee, Liang-Gee Chen. 2083-2086 [doi]
- A wirelessly-powered electro-acupuncture based on Adaptive Pulse Width Mono-Phase stimulationKiseok Song, Seulki Lee, Hoi-Jun Yoo. 2087-2090 [doi]
- Electric field focusing and shifting technique in deep brain stimulation using a dynamic tripolar current sourceVirgilio Valente, Andreas Demosthenous, Richard H. Bayford. 2091-2094 [doi]
- 8×8-Bit multiplier designed with a new wave-pipelining schemeRefik Sever, Murat Askar. 2095-2098 [doi]
- A minimal-gate-count fully digital frequency-tracking oversampling CDR circuitJosé Sarmento, John T. Stonick. 2099-2102 [doi]
- An improved RNS reverse converter for the {2:::2n+1:::-1, 2:::n:::, 2:::n:::-1} moduli setKazeem Alagbe Gbolagade, Ricardo Chaves, Leonel Sousa, Sorin Cotofana. 2103-2106 [doi]
- Compact hardware architectures for BLAKE and LAKE hash functionsJianzhou Li, Ramesh Karri. 2107-2110 [doi]
- A scalable hardware/software co-design for elliptic curve cryptography on PicoBlaze microcontrollerMohamed N. Hassan, Mohammed Benaissa. 2111-2114 [doi]
- A 1.2 Gb/s recursive polyphase cascaded integrator-comb prefilter for high speed digital decimation filters in 0.18-μm CMOSXiong Liu, Alan N. Willson Jr.. 2115-2118 [doi]
- Novel multiplierless wide-band CIC compensatorGordana Javanovic Dolecek, Lara Dolecek. 2119-2122 [doi]
- Reconfigurable nonuniform transmultiplexers based on uniform filter banksAmir Eghbali, Håkan Johansson, Per Löwenborg. 2123-2126 [doi]
- A novel affine projection algorithm for superdirective microphone array beamformingDanilo Comminiello, Michele Scarpiniti, Raffaele Parisi, Aurelio Uncini. 2127-2130 [doi]
- Robust response control with linear inequality matrix constraints for adaptive beamformerZhu Liang Yu, Zhenghui Gu, Yuanqing Li, Wee Ser, Meng Hwa Er. 2131-2134 [doi]
- Joint estimation and compensation for front-end imperfection in MB-OFDM UWB systemsJun Zhou, Liang Liu, Fan Ye, Junyan Ren. 2135-2138 [doi]
- A sideband-suppressed low-power synthesizer for 14-band dual-carrier MB-OFDM UWB transceiversDanfeng Chen, Haipeng Fu, Yunfeng Chen, Wei Li, Fan Ye, Ning Li, Junyan Ren. 2139-2192 [doi]
- Comparison of time and frequency domain interpolation implementations for MB-OFDM UWB transmittersEleni Fotopoulou, Dorina Thanou, Thanos Stouraitis. 2143-2146 [doi]
- Low-complexity tone reservation method for PAPR reduction of OFDM systemsKangwoo Park, In-Cheol Park. 2147-2150 [doi]
- Study on integrated transmission line ΣΔ modulatorsAli Zahabi, Maurits Ortmanns. 2151-2154 [doi]
- An internally non-linear ADC for a ΣΔ accelerometer loopHanspeter Schmid, Sven Sigel, Marc Pastre, Maher Kayal, Pascal Zwahlen, Anne-Marie Nguyen. 2155-2158 [doi]
- Design techniques for discrete-time delta-sigma ADCs with extra loop delayYan Wang, Gabor C. Temes. 2159-2162 [doi]
- A new zero-optimization scheme for noise-coupled ΔΣ ADCsRamin Zanbaghi, Terri S. Fiez, Gabor C. Temes. 2163-2166 [doi]
- Hardware complexity of a correlation based background DAC error estimation technique for sigma-delta ADCsPascal Witte, Carsten Noeske, Maurits Ortmanns. 2167-2170 [doi]
- McFIS: Better I-frame for video codingManoranjan Paul, Weisi Lin, Chiew Tong Lau, Bu-Sung Lee. 2171-2174 [doi]
- An efficient motion vector coding algorithm based on adaptive predictor selectionWen Yang, Oscar C. Au, Chao Pang, Jingjing Dai, Feng Zou, Xing Wen, Yu Liu. 2175-2178 [doi]
- Background modeling for video coding: From sprites to Global Motion Temporal filteringAndreas Krutz, Alexander Glantz, Thomas Sikora. 2179-2182 [doi]
- Motion compensation for block-based lossless video coding using lattice-based binningMortuza Ali, M. Manzur Murshed. 2183-2186 [doi]
- Transform-domain super resolution for improved motion-compensated predictionNafisa Tarannum, Mark R. Pickering, Michael R. Frater, John F. Arnold. 2187-2190 [doi]
- A returned energy architecture for improved photovoltaic systems efficiencyYigal Nimni, Doron Shmilovitz. 2191-2194 [doi]
- A controller for wind generators to increase damping of power oscillationsGeorgios Tsourakis, Costas D. Vournas. 2195-2198 [doi]
- Fuel cell MPPT for fuel consumption optimizationCarlos Andrés Ramos-Paja, Giovanni Spagnuolo, Giovanni Petrone, Roberto Giral, Alfonso Romero. 2199-2202 [doi]
- Current sourcing isolated grid connected inverterIlya Zeltser, Sam Ben-Yaakov. 2203-2206 [doi]
- An integrated four-port converter for compact and efficient hybrid power systemsZhijun Qian, Osama Abdel-Rahman, Christopher Hamilton, Majd Ghazi Batarseh, Issa Batarseh. 2207-2210 [doi]
- A 1.5V low noise figure mixer for 3.5GHz WiMAX systemsRo-Min Weng, Shu-Wei Liu. 2211-2214 [doi]
- Instantaneously companding baseband SC low-pass filter and ADC for 802.1 la/g WLAN receiverShenjie Wang, Vaibhav Maheshwari, Wouter A. Serdijn. 2215-2218 [doi]
- An area efficient digital amplitude modulator in 90nm CMOSV. Chironi, Björn Debaillie, Andrea Baschirotto, Jan Craninckx, M. Ingels. 2219-2222 [doi]
- Efficiency based design flow for fully-integrated class C RF power amplifiers in nanometric CMOSNicolás Barabino, Rafaella Fiorelli, Fernando Silveira. 2223-2226 [doi]
- A broadband 470-862 MHz direct conversion CMOS receiverRaghavendra Kulkarni, Jusung Kim, Hyung Joon Jeon, José Silva-Martínez, Jianhong Xiao. 2227-2230 [doi]
- Optimized numerical mapping scheme for filter-based exon location in DNA using a quasi-Newton algorithmParameswaran Ramachandran, Wu-Sheng Lu, Andreas Antoniou. 2231-2234 [doi]
- Comparative genomic analysis using statistically optimal null filtersRajasekhar Kakumani, M. Omair Ahmad, Vijay Devabhaktuni. 2235-2238 [doi]
- The relationship between music processing and electrocardiogram (ECG) in vegetative state (VS)Brad S. Yen, Hui Min Wang, Mark C. Hou, Sheng-Chieh Huang, Lei-Chun Chou, Shao-You Hsu, Tzu-chia Huang, You-Liang Lai, Ming-Yie Jan. 2239-2242 [doi]
- Data adaptive analysis of ECG signals for cardiovascular disease diagnosisMd. Rabiul Islam, Shamim Ahmad, Keikichi Hirose, Md. Khademul Islam Molla. 2243-2246 [doi]
- Time-constrained packet scheduling optimization for video streaming in wireless ad-hoc networksXinggong Zhang, Zongming Guo. 2247-2250 [doi]
- Efficient packet scheduling for scalable video delivery to mobile clientsMaodong Li, Zhenzhong Chen, Seong-Ping Chuah, Yap-Peng Tan. 2251-2254 [doi]
- Cross-layer optimization for wireless streaming via adaptive MIMO OFDMRobert Yi-Pin Lu, Jun-Wei Lin, Tzi-Dar Chiueh. 2255-2258 [doi]
- A cross-layer adaptation HCCA MAC for QoS-aware H.264 video communications over Wireless Mesh NetworksByung Joon Oh, Chang Wen Chen. 2259-2262 [doi]
- An EFOM for cross-layer optimization towards low-power and high-performance wireless networksXia Li, Peter G. M. Baltus, Dusan M. Milosevic, Arthur H. M. van Roermund, Paul T. M. van Zeijl. 2263-2266 [doi]
- A 100/μA/Ch fully-integrable lock-in multi-channel frontend for infrared spectroscopic gas recognitionStepan Sutula, Carles Ferrer, Francisco Serra-Graells. 2267-2270 [doi]
- A single chip computational sensor system for gamma isotope identificationNathan Schemm, Bo Liang, Sina Balkir, Michael W. Hoffman, Mark Bauer. 2271-2274 [doi]
- A frequency-based signature gas identification circuit for SnO2 gas sensorsKwan Ting Ng, Farid Boussaïd, Amine Bermak. 2275-2278 [doi]
- RF inductive sensors for detection of change in the ionic strength and pH of liquid samplesSiavash Saremi-Yarahmadi, Olive H. Murphy, Christofer Toumazou. 2279-2282 [doi]
- An ISFET based sensing array with sensor offset compensation and pH sensitivity enhancementYan Liu, Chris Toumazou. 2283-2286 [doi]
- EEG-based cognitive state monitoring and predition by using the self-constructing neural fuzzy systemFu-Chang Lin, Li-Wei Ko, Shi-An Chen, Ching-Fu Chen, Chin-Teng Lin. 2287-2290 [doi]
- Intelligent approach for PET volume analysisMhd Saeed Sharif, Abbes Amira, Habib Zaidi. 2291-2294 [doi]
- A CNN approach to computing arbitrary Boolean functionsEero Lehtonen, Jussi Poikonen, Mika Laiho. 2295-2298 [doi]
- On passivity of delayed Markovian jump systems subject to parametric uncertaintiesBaoyong Zhang, Wei Xing Zheng. 2299-2302 [doi]
- Modeling Kita-Hon HVDC Link for load frequency control of Eastern Japan 50-Hz power system based on application of the CampusWAMSChangsong Li, Yuji Okada, Masayuki Watanabe, Yasunori Mitani. 2307-2310 [doi]
- An eigenvalue formulation for determining initial conditions of induction machines in dynamic power system simulationsDaniel K. Molzahn, Bernard C. Lesieutre. 2311-2313 [doi]
- Hardware prototype to emulate the dynamics of power system generators with field programmable analog arraysAnthony S. Deese, Juan C. Jiménez, Jon Berardino, Chika O. Nwankpa. 2314-2317 [doi]
- Averaged-circuit modeling of line-commutated rectifiers for transient simulation programsSina Chiniforoosh, Ali Davoudi, Juri Jatskevich. 2318-2321 [doi]
- Simulation and analysis of distributed PV generation in a LV network using MATLAB-SimulinkJose R. Rodriguez, Felipe Ruiz, Domingo Biel, Francesc Guinjoan. 2322-2325 [doi]
- A low-jitter video clock recovery circuitHossam Ali, Emad Hegazi. 2326-2329 [doi]
- A self-learning multiple-class classifier using multi-dimensional quasi-Gaussian analog circuitsZhuoli Sun, Kyunghee Kang, Tadashi Shibata. 2330-2333 [doi]
- Implementation of the MFCC front-end for low-cost speech recognition systemsNgoc-Vinh Vu, Jim Whittington, Hua Ye, John C. Devlin. 2334-2337 [doi]
- Low-cost low-power bypassing-based multiplier designJin-Tai Yan, Zhi-Wei Chen. 2338-2341 [doi]
- Power analysis detectable watermarks for protecting intellectual propertyJohn Goodwin, Peter R. Wilson. 2342-2345 [doi]
- Compact substrate models for efficient noise coupling and signal isolation analysisRenatas Jakushokas, Emre Salman, Eby G. Friedman, Radu M. Secareanu, Olin L. Hartin, Cynthia L. Recker. 2346-2349 [doi]
- Effect of body biasing on embedded SRAM failureAmin Khajeh, Ahmed M. Eltawil, Fadi J. Kurdahi. 2350-2353 [doi]
- Networks-on-chip topology optimization subject to power, delay, and reliability constraintsHaytham Elmiligi, Ahmed A. Morgan, M. Watheq El-Kharashi, Fayez Gebali. 2354-2357 [doi]
- Parallel sparse matrix solver for direct circuit simulations on FPGAsTarek Nechma, Mark Zwolinski, Jeff S. Reeve. 2358-2361 [doi]
- Parallel-processing VLSI architecture for mixed integer linear programmingHiroki Noguchi, Junichi Tani, Yusuke Shimai, Hiroshi Kawaguchi, Masahiko Yoshimoto. 2362-2365 [doi]
- A simplified structure of second-order volterra filters for nonlinear acoustic echo cancellationJing Fu, Wei-Ping Zhu. 2366-2369 [doi]
- A modified TESPAR algorithm for wildlife sound classificationMarius Vasile Ghiurcau, Corneliu Rusu, Radu Ciprian Bilcu. 2370-2373 [doi]
- Quasi-periodic signal analysis using harmonic transform with application to voiced speech processingPiotr Zubrycki, Alexander A. Petrovsky. 2374-2377 [doi]
- Complexity-effective dynamic range compression for digital hearing aidsKuo-Chiang Chang, Yu-Ting Kuo, Tay-Jyi Lin, Chih-Wei Liu. 2378-2381 [doi]
- Improved wavelet based a-priori SNR estimation for speech enhancementDaniel Pak-Kong Lun, Tai-Chiu Hsung. 2382-2385 [doi]
- Analytical passive mixer power gain modelsMaarten Lont, Dusan M. Milosevic, Peter G. M. Baltus, Arthur H. M. van Roermund, Guido Dolmans. 2386-2389 [doi]
- Detailed analyses in prediction of capacitive-mismatch-induced offset in dynamic comparatorsJun He, Degang Chen, Randall L. Geiger. 2390-2393 [doi]
- Generation of active inductor circuitsMarian Pierzchala, Mourad Fakhfakh. 2394-2397 [doi]
- Symmetry-aware analog layout placement design handling substrate-sharing constraintsRui He, Lihong Zhang. 2398-2401 [doi]
- Indefinite matrices of linear electrical circuits, their pseudoinverses, and applications in related fieldsCristian E. Onete, Maria Cristina C. Onete. 2402-2405 [doi]
- Design of a 70-MHz IF 10-MHz bandwidth bandpass ΣΔ modulator for WCDMA applicationsHervé Caracciolo, Edoardo Bonizzoni, Piero Malcovati, Franco Maloberti. 2406-2409 [doi]
- A 100 µW Decimator for a 16 bit 24 kHz bandwidth Audio ΔΣ ModulatorShankar Parameswaran, Nagendra Krishnapura. 2410-2413 [doi]
- A 13-bit, low-power, compact ADC suitable for sensor applicationsHonglei Chen, Dong Wu, Yanzhao Shen, Jun Xu. 2414-2417 [doi]
- A frequency-scalable 15-bit incremental ADC for low power sensor applicationsJoshua Liang, David A. Johns. 2418-2421 [doi]
- Double-sampling analog-look-ahead second order ΣΔ modulator with reduced dynamicsAldo Pena-Perez, Victor R. Gonzalez-Diaz, Franco Maloberti. 2422-2425 [doi]
- Activity-driven, event-based vision sensorsTobi Delbrück, Bernabé Linares-Barranco, Eugenio Culurciello, Christoph Posch. 2426-2429 [doi]
- High-DR frame-free PWM imaging with asynchronous AER intensity encoding and focal-plane temporal redundancy suppressionChristoph Posch, Daniel Matolin, Rainer Wohlgenannt. 2430-2433 [doi]
- A compact-pixel tri-mode vision sensorDongsoo Kim, Eugenio Culurciello. 2434-2437 [doi]
- A signed spatial contrast event spike retina chipJuan Antonio Leñero-Bardallo, Teresa Serrano-Gotarredona, Bernabé Linares-Barranco. 2438-2441 [doi]
- Temporal contrast AER pixel with 0.3 -contrast event thresholdTobi Delbrück, Raphael Berner. 2442-2445 [doi]
- Recent theory and new applications in chaos communicationsAnthony J. Lawrance. 2446-2449 [doi]
- Feasibility of UWB radio: Impulse radio versus chaos-based approachGéza Kolumbán, Tamás Krébesz, Francis C. M. Lau. 2450-2453 [doi]
- Design and simulation of a cooperative communication system based on DCSK/FM-DCSKJing Xu, Weikai Xu, Lin Wang, Guanrong Chen. 2454-2457 [doi]
- Performance evaluation of error-correcting scheme without redundancy code for noncoherent chaos communicationsShintaro Arai, Yoshifumi Nishio, Takaya Yamazato, Shinji Ozawa. 2458-2461 [doi]
- Performance analysis of differential chaos shift-keying over an m-distributed fading channelGeorges Kaddoum, Pascal Chargé, Daniel Roviras, François Gagnon. 2462-2465 [doi]
- Robust low power design in nano-CMOS technologiesTouqeer Azam, David R. S. Dimming. 2466-2469 [doi]
- Ultra-wide-band low noise amplifier using inductive feedback in 90-nm CMOS technologyHeng-Ming Hsu, Tai-Hsing Lee, Jhao-Siang Huang. 2470-2473 [doi]
- Adiabatic SRAM with a shared access port using a controlled ground line and step-voltage circuitShunji Nakata, Hirotsugu Suzuki, Ryota Honda, Takahito Kusumoto, Shin ichiro Mutoh, Hiroshi Makino, Masayuki Miyama, Yoshio Matsuda. 2474-2477 [doi]
- A new substrate model and parameter extraction method for DNW RF MOSFETsJun Liu, Lingling Sun, Zhiping Yu, Marissa Condon. 2478-2481 [doi]
- A forward body bias generator for digital CMOS circuits with supply voltage scalingMaurice Meijer, José Pineda de Gyvez, Ben Kup, Bert van Uden, Peter Bastiaansen, Marco Lammers, Maarten Vertregt. 2482-2485 [doi]
- Selective redundancy-based design techniques for the minimization of local delay variationsMilos Stanisavljevic, Alexandre Schmid, Yusuf Leblebici. 2486-2489 [doi]
- Process variation compensation of a 4.6 GHz LNA in 65nm CMOSMustansir Yunus Mukadam, Oscar Gouveia Filho, Xuan Zhang, Alyssa B. Apsel. 2490-2493 [doi]
- Statistical NBTI-effect prediction for ULSI circuitsTong Boon Tang, Alan F. Murray, Binjie Cheng, Asen Asenov. 2494-2497 [doi]
- Design metrics for RTL level estimation of delay variability due to intradie (random) variationsMichael Merrett, Yangang Wang, Mark Zwolinski, Koushik Maharatna, Massimo Alioto. 2498-2501 [doi]
- Statistical delay modeling of read operation of SRAMs due to channel length variationHossein Aghababa, Mahmoud Zangeneh, Ali Afzali-Kusha, Behjat Forouzandeh. 2502-2505 [doi]
- Cepstral smoothing of separated signals for underdetermined speech separationYumi Ansa, Shoko Araki, Shoji Makino, Tomohiro Nakatani, Takeshi Yamada, Atsushi Nakamura, Nobuhiko Kitawaki. 2506-2509 [doi]
- A statistical analysis of the dual-mode CMARenato Candido, Magno T. M. Silva, Maria D. Miranda, Vitor H. Nascimento. 2510-2513 [doi]
- A modified eigenvector method for blind deconvolution of MIMO systems using the matrix pseudo-inversion lemmaMitsuru Kawamoto, Kiyotaka Kohno, Yujiro Inouye, Koichi Kurumatani. 2514-2517 [doi]
- A signal perturbation free semi-blind MRT MIMO channel estimation approachChung Chen, Wei-Ping Zhu, Qingmin Meng. 2518-2521 [doi]
- Blind channel estimation based robust physical layer key generation in MIMO networksRavi P. Ramachandran, Sachin S. Shetty. 2522-2525 [doi]
- Low complexity adaptive step-size filtered gradient-based per-tone DMT equalisationSuchada Sitjongsataporn, Peerapol Yuvapoositanon. 2526-2529 [doi]
- A multiplierless structure for direct digital IF signal synthesisRuimin Huang, Niklas Lotze, Markus Becker, Yiannos Manoli. 2530-2533 [doi]
- Scalable pipeline architecture of MMSE MIMO detector for 4×4 MIMO-OFDM receiverShingo Yoshizawa, Hirokazu Ikeuchi, Yoshikazu Miyanaga. 2534-2537 [doi]
- Enhanced direction of arrival estimation via reassigned space-time-frequency methodsS. R. Miller, Andreas S. Spanias, Antonia Papandreou-Suppappola, R. Santucci. 2538-2541 [doi]
- Image encryption using the reciprocal-orthogonal parametric transformSaad Bouguezel, M. Omair Ahmad, M. N. S. Swamy. 2542-2545 [doi]
- A reinforcement learning algorithm used in analog spiking neural network for an adaptive cardiac Resynchronization Therapy deviceQing Sun, François Schwartz, Jacques Michel, Yannick Hervé. 2546-2549 [doi]
- Active spike responses of analog electrical neuron: Theory and experimentsStéphane Binczak, Aurélien Serge Tchakoutio Nguetcho, Sabir Jacquir, Jean-Marie Bilbault, Viktor B. Kazantsev. 2550-2553 [doi]
- Self-Organizing Map with Weighted Connections avoiding false-neighbor effectsHaruna Matsushita, Yoshifumi Nishio. 2554-2557 [doi]
- Log-Domain Time-Multiplexed Realization of Dynamical Conductance-Based SynapsesTheodore Yu, Gert Cauwenberghs. 2558-2561 [doi]
- A study of exponential stability for stochastic delayed neural networksWu-Hua Chen, Wei Xing Zheng. 2562-2565 [doi]
- An oversampling 2D sigma-delta converter by cellular neural networksHisashi Aomori, Tsuyoshi Otake, Nobuaki Takahashi, Ichiro Matsuda, Susumu Itoh, Mamoru Tanaka. 2566-2569 [doi]
- On computing multi-dimensional extreme eigen and singular subspacesMohammed A. Hasan. 2570-2573 [doi]
- Bifurcations in simple genetic cyclic modelsValentina Lanza, Fernando Corinto, Marco Gilli. 2574-2577 [doi]
- System-level design of low complexity CVNS feed forward neural networkMitra Mirhassani, Babak Zamanlooy. 2578-2581 [doi]
- Automatic circuit adjustment technique for process sensitivity reduction and yield improvementHsiu-Wen Li, Ren-Hong Fu, Hsin-Yu Luo, Chien-Nan Jimmy Liu. 2582-2585 [doi]
- Width-constrained wire sizing for non-tree interconnectionsZhi-Wei Chen, Jin-Tai Yan. 2586-2589 [doi]
- Fast simulation of interconnects with nonlinear loads using woodbury s formulaYuichi Tanji. 2590-2593 [doi]
- Designing efficient DSP datapaths through compiler-in-the-loop exploration methodologySotirios Xydis, Christos Skouroumounis, Kiamal Z. Pekmestzi, Dimitrios Soudris, George Economakos. 2598-2601 [doi]
- Technology remapping for engineering change with wirelength considerationJui-Hung Hung, Yao-Kai Yeh, Yung-Sheng Tseng, Tsai-Ming Hsieh. 2602-2605 [doi]
- Dynamic IR drop estimation at gate level with standard library informationMu-Shun Matt Lee, Kuo-Sheng Lai, Chia-ling Hsu, Chien-Nan Jimmy Liu. 2606-2609 [doi]
- A highly efficient method for extracting FSMs from flattened gate-level netlistYiqiong Shi, Chan Wai Ting, Bah-Hwee Gwee, Ye Ren. 2610-2613 [doi]
- Test application time minimization for RAS using basis optimization of column decoderA. Abhishek, Amanulla Khan, Virendra Singh, Kewal K. Saluja, Adit D. Singh. 2614-2617 [doi]
- An accurate RTL power estimation considering power library unevennessHirofumi Kawauchi, Masanori Tsuzuki, Ittetsu Taniguchi, Masahiro Fukui. 2618-2621 [doi]
- Super-resolution from observations with variable zooming ratiosMinmin Shen, Ping Xue. 2622-2625 [doi]
- Simultaneous deblocking and error concealment for decoded visual signalGuangtao Zhai, Xiaokang Yang, Weisi Lin, Wenjun Zhang. 2626-2629 [doi]
- Directional-edge-based object tracking employing on-line learning and regeneration of multiple candidate locationsHongbo Zhu, Pushe Zhao, Tadashi Shibata. 2630-2633 [doi]
- Improved Block Truncation Coding using Optimized Dot DiffusionJing-Ming Guo, Yun-Fu Liu. 2634-2637 [doi]
- An efficient area manipulation architecture for frequency domain encoding processYasser Ismail, Mohsen Shaaban, Jason McNeely, Mohamed A. Elgamel, Magdy A. Bayoumi. 2638-2641 [doi]
- Improved method for blind estimation of the variance of mixed noise using weighted LMS line fitting algorithmSergey K. Abramov, Victoriya Zabrodina, Vladimir V. Lukin, Benoit Vozel, Kacem Chehdi, Jaakko Astola. 2642-2645 [doi]
- TV-based multi-scale super resolution using intra- and inter-scale correlationsJiying Wu, Jingjing Fu, Bing Zeng. 2646-2649 [doi]
- Stereoscopic images generation with directional Gaussian filterYing-Rung Horng, Yu-Cheng Tseng, Tian-Sheuan Chang. 2650-2653 [doi]
- Human behavior recognition from arbitrary viewsChi-Hung Chuang, Jun-Wei Hsieh, Yi-Da Chiou, I.-Ru Tsay, Ming-Hui Jin. 2654-2657 [doi]
- Pitch estimation of noisy speech signals using EMD-fourier based hybrid algorithmSujan Kumar Roy, Md. Khademul Islam Molla, Keikichi Hirose, Md. Kamrul Hasan. 2658-2661 [doi]
- Linear prediction of deterministic components in hybrid signal representationElias Azarov, Alexander A. Petrovsky. 2662-2665 [doi]
- Improved TDOA disambiguation techniques for sound source localization in reverberant environmentsCecilia Maria Zannini, Albenzio Cirillo, Raffaele Parisi, Aurelio Uncini. 2666-2669 [doi]
- Robust speech recognition using feature-domain multi-channel bayesian estimatorsEmanuele Principi, Rudy Rotili, Simone Cifani, Lorenzo Marinelli, Stefano Squartini, Francesco Piazza. 2670-2673 [doi]
- Filterbank-based fast parallel algorithms for real-valued discrete gabor expansion and transformLiang Tao, H. K. Kwan, Juan-juan Gu. 2674-2677 [doi]
- A SPT treatment to the bit serial realization of the sign-LMS based adaptive filterSunav Choudhary, Pritam Mukherjee, Mrityunjoy Chakraborty. 2678-2681 [doi]
- FPGA implementation of the MIMO-OFDM physical layer using single FFT multiplexingJeoong Sung Park, Tokunbo Ogunfunmi. 2682-2685 [doi]
- Interval calculation of EM algorithm for GMM parameter estimationHidenori Watanabe, Shogo Muramatsu, Hisakazu Kikuchi. 2686-2689 [doi]
- Reduced memory architecture for CORDIC-based FFTXin Xiao, Erdal Oruklu, Jafar Saniie. 2690-2693 [doi]
- On the energy concentration property for zero-phase sequencesCorneliu Rusu, Jaakko Astola. 2694-2697 [doi]
- Realization of variable band-pass/band-stop IIR digital filters using Gramian-preserving frequency transformationShunsuke Koshita, Keita Miyoshi, Masahide Abe, Masayuki Kawamata. 2698-2701 [doi]
- On the design of IIR digital filter using linearized equation systemsMauricio F. Quélhas, Antonio Petraglia. 2702-2705 [doi]
- Digital notch filter with time-varying quality factor for the reduction of powerline interferenceJacek Piskorowski. 2706-2709 [doi]
- A novel technique for DCGA optimization of guaranteed BIBO stable IIR-based FRM digital filters over the CSD multiplier coefficient spaceSyed Bokhari, Behrouz Nowrouzian, Seyyed Ali Hashemi. 2710-2713 [doi]
- Power efficiency calculation of class E amplifier with nonlinear shunt capacitanceTadashi Suetsugu, Marian K. Kazimierczuk. 2714-2717 [doi]
- An area efficient fully monolithic hybrid voltage regulatorSelçuk Köse, Eby G. Friedman. 2718-2721 [doi]
- Freewheel duration adjustment circuits for charge-control single-inductor dual-output switching convertersKwok-To Kwan, Wing-Hung Ki. 2722-2725 [doi]
- Analysis and improvement of bilateral chopper having current resonant soft-switchKeiju Matsui, Susumu Tanaka, Masaru Hasegawa. 2726-2729 [doi]
- Design of a step-up dc-dc converter with on-chip coupled inductorsAyaz Hasan, Stefano Gregori. 2730-2733 [doi]
- Control-to-output and duty ratio-to-inductor current transfer functions of peak current-mode controlled dc-dc PWM buck converter in CCMNisha Kondrath, Marian K. Kazimierczuk. 2734-2737 [doi]
- A current-limiter-based soft-start scheme for linear and low-dropout voltage regulatorsMohammad A. Al-Shyoukh, Hoi Lee. 2738-2741 [doi]
- Analysis and design of a loss-free resistor based on a boost converter in PWM operationAngel Cid-Pastor, Luis Martinez-Salamero, N. Parody, Abdelali El Aroudi. 2742-2745 [doi]
- DC-DC converter with ON-time control in pulse-skipping modulationAhmed Emira, Hassan O. Elwan, Salwa Abdelaziz. 2746-2749 [doi]
- Variable-resolution simulation of nonlinear power circuitsAli Davoudi, Sairaj Dhople, Patrick L. Chapman, Juri Jatskevich. 2750-2753 [doi]
- On input-to-state stability notions for reachability analysis of power systemsMatthias A. Müller, Alejandro D. Domínguez-García. 2754-2757 [doi]
- Microgrid dynamics characterization using the automated state model generation algorithmBrian B. Johnson, Ali Davoudi, Patrick L. Chapman, Peter Sauer. 2758-2761 [doi]
- Interaction among voltage controlling devices and voltage control effect identificationRicardo B. Prada, Lindomar J. Souza. 2762-2765 [doi]
- Energy function based neural networks UPFC for transient stability enhancement of network-preserving power systemsChia-Chi Chu, Hung-Chi Tsai. 2766-2769 [doi]
- Improved solar PV cell Matlab simulation model and comparisonYuncong Jiang, Jaber A. Abu-Qahouq, Issa Batarseh. 2770-2773 [doi]
- Live Demo: ECOS 1.0: A metal-only ECO synthesizerIris Hui-Ru Jiang, Hua-Yu Chang. 2774 [doi]
- Live demonstration: Inductive power and telemetry for micro-implantPhilipp Häfliger. 2775 [doi]
- Live Demo: Affine arithmetic concept based Symbolic Circuit AnalyserBalavelan Thanigaivelan, Adam Postula, Tara Julia Hamilton. 2776 [doi]
- Live demonstration: A real-time compensated inductive transceiver for wearable MP3 player system on multi-layered planar fashionable circuit boardSeulki Lee, Seungwook Paek, Hoi-Jun Yoo. 2777 [doi]
- A real-time compensated inductive transceiver for wearable MP3 player system on multi-layered planar fashionable circuit boardSeulki Lee, Seungwook Paek, Hoi-Jun Yoo. 2778-2781 [doi]
- Live demonstration: Simulator-like exploration of cortical network architectures with a mixed-signal VLSI systemDaniel Brüderle, Johannes Bill, Bernhard Kaplan, Jens Kremkow, Karlheinz Meier, Eric Müller, Johannes Schemmel. 2783 [doi]
- Simulator-like exploration of cortical network architectures with a mixed-signal VLSI systemDaniel Brüderle, Johannes Bill, Bernhard Kaplan, Jens Kremkow, Karlheinz Meier, Eric Müller, Johannes Schemmel. 2784-8787 [doi]
- Live demonstration: State-dependent sensory processing in networks of VLSI spiking neuronsEmre Neftci, Elisabetta Chicca, Matthew Cook, Giacomo Indiveri, Rodney J. Douglas. 2788 [doi]
- State-dependent sensory processing in networks of VLSI spiking neuronsEmre Neftci, Elisabetta Chicca, Matthew Cook, Giacomo Indiveri, Rodney J. Douglas. 2789-2792 [doi]
- Live demonstration: Hardware and software infrastructure for a family of floating-gate based FPAAsScott Koziol, Craig Schlottmann, Arindam Basu, Stephen Brink, Csaba Petre, Brian P. Degnan, Shubha Ramakrishnan, Paul E. Hasler, Aurele Balavoine. 2793 [doi]
- Hardware and software infrastructure for a family of floating-gate based FPAAsScott Koziol, Craig Schlottmann, Arindam Basu, Stephen Brink, Csaba Petre, Brian P. Degnan, Shubha Ramakrishnan, Paul E. Hasler, Aurele Balavoine. 2794-2797 [doi]
- An integrated wireless electronic nose system integrating sensing and recognition functionsHung Tat Chen, Amine Bermak, Adam Khalifa, Dominique Martinez. 2798 [doi]
- Live demonstration: Spatial-temporal color video reproduction from noisy CFA sequence track: Digital signal processingLei Zhang, Weisheng Dong, Chiu-Wai Hui, Xiaolin Wu, Guangming Shi. 2799 [doi]
- A concepts inventory for an attractive teaching approach of the mathematics of circuits and systemsJoos Vandewalle. 2800-2803 [doi]
- A concept inventory for an Electric Circuits course : Rationale and fundamental topicsTokunbo Ogunfunmi, Mahmudur Rahman. 2804-2807 [doi]
- A set of questions for a concept inventory for a DC Circuits courseMahmudur Rahman, Tokunbo Ogunfunmi. 2808-2811 [doi]
- A first lab in filter design: Power line hum suppression in an ECG signalHsin-I. Liu, Jonathan Kotker, Babak Ayazifar. 2812-2815 [doi]
- A novel low-power high-speed rail-to-rail class-B buffer amplifier for LCD output driversDavide Marano, Gaetano Palumbo, Salvatore Pennisi. 2816-2819 [doi]
- Rail-to-rail low-power fully differential OTA utilizing adaptive biasing and partial feedbackTuan Vu Cao, Dag T. Wisland, Tor Sverre Lande, Farshad Moradi. 2820-2823 [doi]
- Linear-in-dB Variable Gain Amplifier with PWL exponential gain controlD. Moro-Frías, María Teresa Sanz-Pascual, Carlos A. De La Cruz Bias. 2824-2827 [doi]
- Two-stage fully-differential inverter-based self-biased CMOS amplifier with high efficiencyMichael Figueiredo, Edinei Santin, João Goes, Rui Santos-Tavares, Guiomar Evans. 2828-2831 [doi]
- Low-power dual-active class-AB buffer amplifier with self-biasing network for LCD column driversDavide Marano, Gaetano Palumbo, Salvatore Pennisi. 2832-2835 [doi]
- A novel type-based group delay equalization techniqueXinping Huang, Mario Caron. 2836-2839 [doi]
- Beamforming using passive nested arrays of sensorsPiya Pal, P. P. Vaidyanathan. 2840-2843 [doi]
- Fast Huffman decoding algorithm by multiple-bit length search scheme for MPEG-2/4 AACHan-Chang Ho, Sheau-Fang Lei. 2844-2847 [doi]
- Tracking by nonuniform amplitude division based LMS algorithm for time varying channelsRubaiyat Yasmin, Tetsuya Shimamura. 2852-2855 [doi]
- Efficient algorithm for H.264/AVC intra frame transcodingChien-Da Wu, Yinyi Lin. 2856-2859 [doi]
- Fast block-size partitioning using empirical rate-distortion models for MPEG-2 to H.264/AVC transcodingQiang Tang, Panos Nasiopoulos, Rabab Kreidieh Ward. 2860-2863 [doi]
- Learn to segment attention object from low DoF imageHongliang Li, Guanghui Liu, King Ngi Ngan. 2864-2867 [doi]
- A new method for segmentation of noisy, low-contrast image sequencesHsiao-Chiang Chuang, Mary L. Comer. 2868-2871 [doi]
- A directional extension of the JPEG image codecMarek Parfieniuk. 2872-2875 [doi]
- Performance analysis of 3D-IC for multi-core processors in sub-65nm CMOS technologiesKumiko Nomura, Keiko Abe, Shinobu Fujita, Yasuhiko Kurosawa, Atsushi Kageshima. 2876-2879 [doi]
- Combining circuit and packet switching with bus architecture in a NoC for real-time applicationsAngelo Kuti Lusala, Jean-Didier Legat. 2880-2883 [doi]
- A 100-context optically reconfigurable gate arrayMao Nakajima, Minoru Watanabe. 2884-2887 [doi]
- Chip-to-chip communications using capacitive interconnectsOlli Viitala, Jussi Ryynänen. 2888-2891 [doi]
- Monitoring and reconfiguration techniques for power supply variation tolerant on-chip linksEthiopia Nigussie, Juha Plosila, Jouni Isoaho. 2892-2895 [doi]
- Efficient simulation model for DAC dynamic propertiesPieter De Wit, Georges G. E. Gielen. 2896-2899 [doi]
- TLM2.0 based timing accurate modeling method for complex NoC systemsYe Lu, Sakir Sezer, John V. McCanny. 2900-2903 [doi]
- A reconfigurable OFDM inner receiver implemented in the CAL dataflow languageThomas Olsson, Anders Carlsson, Leif Wilhelmsson, Johan Eker, Carl von Platen, Isael Diaz. 2904-2907 [doi]
- A new sampling method for analog behavioral modelingHui Li, Makram Mansour, Sury Maturi, Li-C. Wang. 2908-2911 [doi]
- Multiobjective optimization with an asymptotically uniform coverage of Pareto frontJan Míchal, Josef Dobes, David Cerny. 2912-2915 [doi]
- Minimized right-half plane zero effect on fast boost DC-DC converter achieved by adaptive voltage positioning techniqueJie-Yu Liao, Han-Hsiang Huang, Ke-Horng Chen. 2916-2919 [doi]
- Zero-derivative method of analog controller design applied to step-down DC-DC convertersVratislav Michal, Christophe Premont, Gaël Pillonnet, Nacer Abouchi. 2920-2923 [doi]
- Minimum hardware serial PID regulator for high efficiency, low power digital DC-DC convertersMarco Meola, Sergio Carrato, Angelo Bovino, Jürgen Schäfer, Emanuele Bodano. 2924-2927 [doi]
- A frequency domain approach for controlling chaos in switching convertersEnric Rodriguez, Eduard Alarcón, Herbert H. C. Iu, Abdelali El Aroudi. 2928-2931 [doi]
- Bifurcation behavior of a boost converter under voltage controlled pulse skipping modulation in the light of 1-D discontinuous map modelSantanu Kapat, Soumitro Banerjee, Amit Patra. 2932-2935 [doi]
- A mini-invasive multi-function biomedical pressure measurement system ASICChua-Chin Wang, Chi-Chun Huang, Yi-Cheng Liu, Victor Pikov, Doron Shmilovitz. 2936-2939 [doi]
- A wireless neural/EMG telemetry system for freely moving insectsReid R. Harrison, Ryan J. Kier, Anthony Leonardo, Haleh Fotowat, Raymond Chan, Fabrizio Gabbiani. 2940-2943 [doi]
- A multiband concurrent sampling based RF front end for biotelemetry applicationsAravind Heragu, Viswanathan Balasubramanian, Christian C. Enz. 2948-2951 [doi]
- Wireless integrated circuit for the acquisition of electrocorticogram signalsGrant S. Anderson, Reid R. Harrison. 2952-2955 [doi]
- A (256×256) pixel 76.7mW CMOS imager/ compressor based on real-time In-pixel compressive sensingVahid Majidzadeh, Laurent Jacques, Alexandre Schmid, Pierre Vandergheynst, Yusuf Leblebici. 2956-2959 [doi]
- Low bandwidth decoder framework for H.264/AVC scalable extensionTzu-Der Chuang, Pei-Kuei Tsung, Pin-Chih Lin, Lo-Mei Chang, Tsung-Chuan Ma, Yi-Hau Chen, Liang-Gee Chen. 2960-2963 [doi]
- Low-cost hardware architecture design for 3D warping engine in multiview video applicationsPin-Chih Lin, Pei-Kuei Tsung, Liang-Gee Chen. 2964-2967 [doi]
- Video-Active RAM: A processor-in-memory architecture for video coding applicationsMohammed Sayed, Wael M. Badawy, Graham A. Jullien. 2968-2971 [doi]
- A low-power VLSI implementation for variable block size motion estimation in H.264/AVCPeng Li, Hua Tang. 2972-2975 [doi]
- Least-squares adaptation of affine combinations of multiple adaptive filtersLuis Antonio Azpicueta-Ruiz, Marcus Zeller, Aníbal R. Figueiras-Vidal, Jerónimo Arenas-García. 2976-2979 [doi]
- An Improved Exponentiated stochastic gradient algorithmCorneliu Rusu, Colin F. N. Cowan. 2980-2983 [doi]
- Reduced-rank BEACON algorithm based on joint iterative optimization of adaptive filtersPatrick Clarke, Rodrigo C. de Lamare. 2984-2987 [doi]
- Lyapunov-based stability analysis of supervised and unsupervised adaptive algorithmsCelso de Sousa Júnior, Romis de Faissol Attux, Ricardo Suyama, João Marcos Travassos Romano. 2988-2991 [doi]
- Color video denoising based on adaptive color space conversionJingjing Dai, Oscar C. Au, Wen Yang, Chao Pang, Feng Zou, Xing Wen. 2992-2995 [doi]
- A 5-GHz fractional-N phase-locked loop with spur reduction technique in 0.13-μm CMOSWei-Hao Chiu, Chien-Yuan Cheng, Tsung-Hsien Lin. 2996-2999 [doi]
- Direct DDFS FM modulator with baseband interpolatorCarlos Bernal, Pilar Molina Gaudó, Arturo Mediano. 3000-3003 [doi]
- A lOMb/s 4ns jitter direct conversion low Modulation Index FSK demodulator for low-energy body sensor networkTaehwan Roh, Joonsung Bae, Hoi-Jun Yoo. 3004-3007 [doi]
- Phase amplitude converter with conditional shift operationHiroomi Hikawa, Taketo Namba. 3008-3011 [doi]
- System level power optimizations for EPC RFID tags to improve sensitivity using load power shaping and operation schedulingYunxiao Ling, Jun Yi, Chi-Ying Tsui, Wing-Hung Ki. 3012-3015 [doi]
- A 0.22 pJ/step subsampling ADC with fast input-tracking sampling and simplified opamp sharingGuanghua Shu, Fan Ye, Yao Guo, Mingjun Fan, Junyan Ren, Jun Xu, Ning Li, Cheng Chen. 3016-3019 [doi]
- Enhancement of comparator operation speed by using negative-differential-resistance devicesTomohiko Ebata, Uichiro Omae, Kazuya Machida, Keita Hoshi, Takao Waho. 3020-3023 [doi]
- A fine-resolution Time-to-Digital Converter for a 5GS/S ADCKenneth A. Townsend, Andrew R. Macpherson, James W. Haslett. 3024-3027 [doi]
- INL based dynamic performance estimation for ADC BISTJingbo Duan, Le Jin, Degang Chen. 3028-3031 [doi]
- Linearity testing of ADCs using low linearity stimulus and Kalman filteringBharath K. Vasan, Randall L. Geiger, Degang Chen. 3032-3035 [doi]
- An overview of directional transforms in image codingJizheng Xu, Bing Zeng, Feng Wu. 3036-3039 [doi]
- Decoding of directional DCT-coded images: A total variational approach with directionalityJingjing Fu, Bing Zeng. 3040-3043 [doi]
- Direction scalability of adaptive directional wavelet transform: An approach using block-lifting based DCT and SPIHTYuichi Tanaka, Madoka Hasegawa, Shigeo Kato, Taizo Suzuki, Masaaki Ikehara. 3044-3047 [doi]
- Image coding via sparse contourlet representationJingyu Yang, Chunping Hou, Wenli Xu. 3048-3051 [doi]
- Digital camera identification using Colour-Decoupled photo response non-uniformity noise patternChang-Tsun Li, Yue Li. 3052-3055 [doi]
- Accurate detection of out-of-control variations from digital camera devicesPhilip Bateman, Anthony T. S. Ho, Alan Woodward. 3056-3059 [doi]
- Intrinsic signatures for scanned documents forensics : Effect of font shape and sizeNitin Khanna, Edward J. Delp. 3060-3063 [doi]
- New developments in color image tampering detectionPatchara Sutthiwan, Yun-Qing Shi, Jing Dong, Tieniu Tan, Tian-Tsong Ng. 3064-3067 [doi]
- A forensic chip for secure digital video recordingLee-Ming Cheng, L. L. Cheng. 3068-3071 [doi]
- Waveform speech coding using multiscale recurrent patternsFrederico S. Pinagé, Lara C. R. L. Feio, Eduardo A. B. da Silva, Sergio L. Netto. 3072-3075 [doi]
- Another look at the retina as an image scalar quantizerKhaled Masmoudi, Marc Antonini, Pierre Kornprobst. 3076-3079 [doi]
- Massively parallel processing of signals in dense microphone arraysAmir Said, Ton Kalker, Bowon Lee, Majid Fozunbal. 3080-3083 [doi]
- On the compression of ECG records employing triangular elements and analysis-by-synthesis modelingFellipe dos Santos Guimaraes, Lisandro Lovisolo, Manuel Blanco-Velasco, Fernando Cruz-Roldán. 3084-3087 [doi]
- A full-scale CMOS voltage-to-frequency converter for WSN signal conditioningBelén Calvo, Nicolás J. Medrano-Marqués, Santiago Celma. 3088-3091 [doi]
- A low power interface circuit for resistive sensors with digital offset compensationEl Mehdi Boujamaa, Boris Alandry, Souha Hacine, Laurent Latorre, Frédérick Mailly, Pascal Nouet. 3092-3095 [doi]
- A 0.5 V-1.4 V supply-independent frequency-based analog-to-digital converter with fast start-up time for wireless sensor networksWouter Volkaerts, Bart Marien, Hans Danneels, Valentijn De Smedt, Patrick Reynaert, Wim Dehaene, Georges G. E. Gielen. 3096-3099 [doi]
- A ΔΣ ADC for low power sensor applicationsJarno Salomaa, Mikail Yücetas, Antti Kalanti, Lasse Aaltonen, Kari Halonen. 3100-3103 [doi]
- A wearable, wireless electronic interface for textile sensors lin shuLin Shu, Xiaoming Tao, David Dagan Feng. 3104-3107 [doi]
- Robust distributed beamforming for two-way wireless relay systemsChunguo Li, Luxi Yang, Wei-Ping Zhu. 3108-3111 [doi]
- Low memory cost bilateral filtering using stripe-based sliding integral histogramPo-Hsiung Hsu, Yu-Cheng Tseng, Tian-Sheuan Chang. 3120-3123 [doi]
- Local polynomial modelling of time-varying autoregressive processes and its application to the analysis of event-related electroencephalogramZ. G. Zhang, S. C. Chan, Yeung Sam Hung. 3124-3127 [doi]
- Current-limited passive charge recovery for implantable neuro-stimulators: Power savings, modelling and characterisationTorsten Lehmann, Hosung Chun, Philip Preston, Gregg J. Suaning. 3128-3131 [doi]
- A 24nW, 0.65-V, 74-dB SNDR, 83-dB DR, class-AB current-mode sample and hold circuitChutham Sawigun, Wouter A. Serdijn. 3132-3135 [doi]
- A silicon pancreatic islet for the treatment of diabetesMohamedFayes El Sharkawy, Pantelis Georgiou, Chris Toumazou. 3136-3139 [doi]
- A fully integrated multi-channel impedance extraction circuit for biosensor arraysXiaowen Liu, Daniel Rairigh, Andrew J. Mason. 3140-3143 [doi]
- Towards an adaptive modified quasi-tripole amplifier configuration for EMG neutralization in neural recording tripolesIoannis Pachnis, Andreas Demosthenous, Nick Donaldson. 3144-3147 [doi]
- A new modified nodal analysis for nano-scale memristor circuit simulationHao Yu, Wei Fei. 3148-3151 [doi]
- A novel scalable parallel architecture for biological neural simulationsPeyman Pourhaj, Daniel H. Y. Teng, Khan A. Wahid, Seok-Bum Ko. 3152-3155 [doi]
- Genetic algorithm based topology generation for application specific Network-on-ChipNaveen Choudhary, Manoj Singh Gaur, Vijay Laxmi, Virendra Singh. 3156-3159 [doi]
- FAST: A simulation framework for solving large-scale probabilistic inverse problems in nano-biomolecular circuitsMing Gu, Yang Liu, Shantanu Chakrabartty. 3160-3163 [doi]
- Rapid design space exploration for multi parametric optimization of VLSI designsAnirban Sengupta, Reza Sedaghat, Zhipeng Zeng. 3164-3167 [doi]
- A memory mapping approach for parallel interleaver design with multiples read and write accessesCyrille Chavet, Philippe Coussy. 3168-3171 [doi]
- Improving redundancy addition and removal using unreachable states for sequential circuitsXiaoqing Yang, Zigang Xiao, Yu-Liang Wu. 3172-3175 [doi]
- A framework for fast design space exploration using fuzzy search for VLSI computing ArchitecturesZhipeng Zeng, Reza Sedaghat, Anirban Sengupta. 3176-3179 [doi]
- Register relocation to optimize clock network for multi-domain clock skew schedulingLiang Yang, Bao-Xia Fan, Ming Cong, Ji-Ye Zhao. 3180-3183 [doi]
- Analysis and design of a step-down switched-capacitor-based converter for low-power applicationChia-Ling Wei, Hsiu-Hui Yang. 3184-3187 [doi]
- A switched-capacitor inverter using series/parallel conversionYouhei Hinago, Hirotaka Koizumi. 3188-3191 [doi]
- A new visit to an old problem in switched-capacitor convertersChun-Kit Cheung, Siew-Chong Tan, Y. M. Lai, Chi Kong Tse. 3192-3195 [doi]
- An enhanced switching policy for buck-derived multi-level switching power amplifiersAlbert Garcia-Tormo, Alberto Poveda, Eduard Alarcón, Henk Jan Bergveld, Berry Buter, Ravi Karadi. 3196-3199 [doi]
- Effect of MOSFET gate-to-drain parasitic capacitance on class-E power amplifierXiuqin Wei, Hiroo Sekiya, Shingo Kuroiwa, Tadashi Suetsugu, Marian K. Kazimierczuk. 3200-3203 [doi]
- Analysis of layout density in FinFET standard cells and impact of fin technologyMassimo Alioto. 3204-3207 [doi]
- Methodology for multi-layer interdigitated power and ground network designRenatas Jakushokas, Eby G. Friedman. 3208-3211 [doi]
- A clock network of distributed ADPLLs using an asymmetric comparison strategyAnton Korniienko, Éric Colinet, Gérard Scorletti, Eric Blanco, Dimitri Galayko, Jérôme Juillard. 3212-3215 [doi]
- A 1-change-in-4 delay-insensitive interchip linkAnand Chandrasekaran, Kwabena Boahen. 3216-3219 [doi]
- Optimization of clock-gating structures for low-leakage high-performance applicationsJavier Castro, Pilar Parra, Antonio J. Acosta. 3220-3223 [doi]
- Power-aware NoC router using central forecasting-based dynamic virtual channel allocationAmir-Mohammad Rahmani, Masoud Daneshtalab, Pasi Liljeberg, Hannu Tenhunen. 3224-3227 [doi]
- An efficient routing algorithm for irregular mesh NoCsParisa Mahdavinia, Hamid Sarbazi-Azad. 3228-3231 [doi]
- Communication-aware application mapping and scheduling for NoC-based MPSoCsHeng Yu, Yajun Ha, Bharadwaj Veeravalli. 3232-3235 [doi]
- Improving the performance of deadlock recovery based routing in irregular mesh NoCs using added mesh-like linksMahdieh Hosseingholi, Ali Sharif Ahmadian, Hamid Sarbazi-Azad. 3236-3239 [doi]
- Asynchronous BFT for low power networks on chipMohamed A. Abd El ghany, Magdy A. El-Moursy, Darek Korzec, Mohammed Ismail. 3240-3243 [doi]
- Correcting unsynchronized zoom in 3D videoColin Doutre, Mahsa T. Pourazad, Alexis M. Tourapis, Panos Nasiopoulos, Rabab K. Ward. 3244-3247 [doi]
- Depth maps interpolation from existing pairs of keyframes and depth maps for 3D video generationHung-Ming Wang, Chun-Hao Huang, Jar-Ferr Yang. 3248-3251 [doi]
- Image-based rendering of ancient Chinese artifacts for multi-view displays - a multi-camera approachZhen-Yu Zhu, King To Ng, Shing-Chow Chan, Heung-Yeung Shum. 3252-3255 [doi]
- Dynamic clipping ratio determination for global backlight dimming in LCDPhilippe Lavole, Sung-Kyu Lee, Suk-Ju Kang, Young-Hwan Kim. 3256-3259 [doi]
- An organic complementary differential amplifier for flexible AMOLED applicationsVaibhav Vaidya, Denise M. Wilson, Xiaohong Zhang, Bernard Kippelen. 3260-3263 [doi]
- Drive and sense interface for gyroscopes based on bandpass sigma-delta modulatorsThomas Northemann, Michael Maurer, Stefan Rombach, Alexander Buhmann, Yiannos Manoli. 3264-3267 [doi]
- An amplitude regulation for gyroscope drive loops based on phase-shiftingThomas Northemann, Anne Ziegler, Michael Maurer, Yiannos Manoli. 3268-3271 [doi]
- A tuning procedure for the electric networks of PEM systemsMassimo Panella, Fabio Massimo Frattale Mascioli. 3272-3275 [doi]
- A MEMS-based temperature-compensated vacuum sensor for low-power monolithic integrationMohammad Ali Taghvaei, Paul-Vahe Cicek, Karim Allidina, Frederic Nabki, Mourad N. El-Gamal. 3276-3279 [doi]
- A low-noise high-sensitivity readout circuit for MEMS capacitive sensorsJack Shiah, Hooman Rashtian, Shahriar Mirabbasi. 3280-3283 [doi]
- Recent advances in IR-UWB transceivers: An overviewJorge R. Fernandes, David D. Wentzloff. 3284-3287 [doi]
- Challenges and recent advances in IR-UWB system designLutz Lampe, Klaus Witrisal. 3288-3291 [doi]
- Partially coherent signal combination for impulse radio synchronisationDries Neirynck, Kathleen Philips, Olivier Rousseaux. 3292-3295 [doi]
- IR-UWB transmitters synthesized from standard digital library componentsYoungmin Park, David D. Wentzloff. 3296-3299 [doi]
- System and circuit considerations for low-complexity constant-envelope FM-UWBJohn F. M. Gerrits, Mina Danesh, Yi Zhao, Yunzhi Dong, Gerrit van Veenendaal, John R. Long, John R. Farserotu. 3300-3303 [doi]
- Digital architectures implementing piecewise-affine functions: An overviewTomaso Poggi, Marco Storace. 3304-3307 [doi]
- On the synthesis of piecewise affine control lawsAlberto Bemporad, Maurice Heemels, Mircea Lazar. 3308-3311 [doi]
- Pilot-aided designs of memoryless block equalizers with minimum redundancyWallace Alves Martins, Paulo S. R. Diniz. 3312-3315 [doi]
- PWL cores for nonlinear array processingMartin Di Federico, Pedro Julián, Pablo Sergio Mandolesi, Andreas G. Andreou. 3312-3316 [doi]
- Compressive sampling hardware reconstructionAvi Septimus, Raphael Steinberg. 3316-3319 [doi]
- An automated design flow from linguistic models to piecewise polynomial digital circuitsIluminada Baturone, Santiago Sánchez-Solano, Andrés Gersnoviez, María Brox. 3317-3320 [doi]
- Multicore thermal management using approximate explicit model predictive controlFrancesco Zanini, Colin N. Jones, David Atienza, Giovanni De Micheli. 3321-3324 [doi]
- ATAC: Improving performance and programmability with on-chip optical networksJames Psota, Jason E. Miller, George Kurian, Henry Hoffmann, Nathan Beckmann, Jonathan Eastep, Anant Agarwal. 3325-3328 [doi]
- Run-time mapping of applications on FPGA-based reconfigurable systemsIvan Beretta, Vincenzo Rana, David Atienza, Donatella Sciuto. 3329-3332 [doi]
- High level specification of embedded listeners for monitoring of Network-on-ChipsChristoph Puttmann, Mario Porrmann, Paolo Roberto Grassi, Marco D. Santambrogio, Ulrich Rückert. 3333-3336 [doi]
- 3D NoCs - Unifying inter & intra chip communicationIgor Loi, Pol Marchal, Antonio Pullini, Luca Benini. 3337-3340 [doi]
- Automated placement of reconfigurable regions for relocatable modulesTobias Becker, Markus Koester, Wayne Luk. 3341-3344 [doi]
- A 14-bit 250MS/s digital to analog converter with binary weighted Redundant Signed Digit codingBenoit Catteau, Bart De Vuyst, Pieter Rombouts, Ludo Weyten. 3345-3348 [doi]
- An ultra low-energy DAC for successive approximation ADCsHande Vinayak Gopal, Maryam Shojaei Baghini. 3349-3352 [doi]
- Multi-rate segmented time-interleaved current steering DAC with unity-elements sharingDevrim Yilmaz Aksin, Gurer Ozbek, Franco Maloberti. 3353-3356 [doi]
- Output impedance linearization technique for current-steering DACsTao Zeng, Degang Chen. 3357-3360 [doi]
- Linearity enhancement in Digital-to-Analog Converters using a modified decoding architectureS. Moslem Hokmabadi, Reza Lotfi. 3361-3364 [doi]
- Hardware-efficient image enhancement with bilateral tone adjustmentWei-Ming Ke, Ching-Te Chiu. 3365-3368 [doi]
- Shadow removal from natural imagesYa-Fan Su, Homer H. Chen. 3369-3372 [doi]
- Subtractive impairment, additive impairment and image visual qualitySongnan Li, King Ngi Ngan. 3373-3376 [doi]
- Saturated-pixel enhancement for color imagesDi Xu, Colin Doutre, Panos Nasiopoulos. 3377-3380 [doi]
- IN-service video quality monitoringEe Ping Ong, Shiqian Wu, Mei Hwan Loke. 3381-3384 [doi]
- A phase model approach for synchronization analysis of coupled nonlinear oscillatorsMichele Bonnin, Fernando Corinto, Marco Gilli. 3385-3388 [doi]
- On the synchronization condition of second-harmonic coupled QVCOsAntonio Buonomo, Michael Peter Kennedy, Alessandro Lo Schiavo. 3389-3392 [doi]
- Magnetic (RL-) multivibrator using transconductance amplifierIgor M. Filanovsky, Chris J. M. Verhoeven. 3393-3396 [doi]
- Spur reduction in wideband PLLs by random positioning of charge pump current pulsesChembiyan Thambidurai, Nagendra Krishnapura. 3397-3400 [doi]
- A background KDCO compensation technique for constant bandwidth in all-digital phase-locked loopSung-Pah Lee, SeongHwan Cho. 3401-3404 [doi]
- SOS current mirror matching at 4K: A brief studyKushal Das, Torsten Lehmann. 3405-3408 [doi]
- Mismatch compensation of a subthreshold CMOS current normalizerDavid Sander, Timir Datta, Pamela Abshire. 3409-3412 [doi]
- Double-via insertion enhanced X-architecture clock routing for reliabilityChia-Chun Tsai, Chung-Chieh Kuo, Lin-Jeng Gu, Trong-Yen Lee. 3413-3416 [doi]
- 2×VDD-tolerant power-rail ESD clamp circuit with low standby leakage in 65-nm CMOS processChun-Yu Lin, Ming-Dou Ker. 3417-3420 [doi]
- A 125-MHz wide-range mixed-voltage I/O buffer using gated Floating N-well circuitChua-Chin Wang, Szu-Chia Liao, Yi-Cheng Liu. 3421-3424 [doi]
- Is physics-based liveness detection truly possible with a single image?Jiamin Bai, Tian-Tsong Ng, Xinting Gao, Yun-Qing Shi. 3425-3428 [doi]
- Unsupervised classification of digital images using enhanced sensor pattern noiseChang-Tsun Li. 3429-3432 [doi]
- Occluded human body segmentation and its application to behavior analysisJun-Wei Hsieh, Sin-Yu Chen, Chi-Hung Chuang, Miao-Fen Chueh, Shiaw-Shian Yu. 3433-3436 [doi]
- Unsupervised action classification using space-time link analysisHaowei Liu, Rogerio Feris, Volker Krüger, Ming-Ting Sun. 3437-3440 [doi]
- Accurate playfield detection using Area-of-CoverageVietAnh Ngo, Wenxian Yang, Jianfei Cai. 3441-3444 [doi]
- Impact of network sparsity on strategic placement of phasor measurement units with fixed channel capacityMert Korkali, Ali Abur. 3445-3448 [doi]
- Joint optimal placement of PMU and conventional measurements in power systemsRajesh Kavasseri, Sudarshan K. Srinivasan. 3449-3452 [doi]
- Boundary properties of the BCU method for power system transient stability assessmentChia-Chi Chu, Hsiao-Dong Chiang. 3453-3456 [doi]
- A multi-objective meta-heuristic method for distribution network optimizationHiroyuki Mori, Kojiro Shimomugi. 3457-3460 [doi]
- Multi-agent design for power distribution system reconfiguration based on the artificial immune system algorithmRabie Belkacemi, Ali Feliachi. 3461-3464 [doi]
- Comparision of methods for interference neutralisation in tripolar nerve recording cuffsDominik Cirmirakis, Andreas Demosthenous, Nick Donaldson. 3465-3468 [doi]
- Performance comparison of low current measurement systems for biomedical applicationsDongsoo Kim, Wei Tang, Brian Goldstein, Pujitha Weerakoon, Hazael Montanaro, Berin Martini, Eugenio Culurciello. 3469-3472 [doi]
- Design of a configurable neural Data compression system for intra-cortical implantsAwais M. Kamboh, Yuning Yang, Karim G. Oweiss, Andrew J. Mason. 3473-3476 [doi]
- Low-power low-complexity carrier-based UWB transmitter in 90nm CMOS for wireless biomedical radar sensing applicationsXubo Wang, Anh Dinh, Daniel Teng. 3477-3480 [doi]
- Stimulation management for a multichannel vestibular neural prosthesisDai Jiang, Andreas Demosthenous, Timothy Perkins, Nick Donaldson. 3481-3484 [doi]
- A 16Kb 10T-SRAM with 4x read-power reductionZhi-Hui Kong, Anh-Tuan Do. 3485-3488 [doi]
- Implementation of adaptive grain signatures for transactional memoriesWoojin Choi, Young Hoon Kang, Taek-Jun Kwon, Jeff Draper. 3489-3492 [doi]
- Fast low power translation lookaside buffers using hierarchical NAND match linesLawrence T. Clark, Vikas Chaudhary. 3493-3496 [doi]
- Scalability of weak consistency in NoC based multicore architecturesAbdul Naeem, Xiaowen Chen, Zhonghai Lu, Axel Jantsch. 3497-3500 [doi]
- Sense amplifier with offset mismatch calibration for sub 1-V DRAM core operationJinyeong Moon, Byongtae Chung. 3501-3504 [doi]
- On design of robust ℋ∞ filters for uncertain Markovian stochastic systemsXiuming Yao, Ligang Wu, Wei Xing Zheng. 3505-3508 [doi]
- Unsupervised identification of nonstationary dynamical systems using a Gaussian mixture model based on EM clustering of SOMsGiorgio Biagetti, Paolo Crippa, Alessandro Curzi, Claudio Turchetti. 3509-3512 [doi]
- Compressive sensing of localized signals: Application to Analog-to-Information conversionJuri Ranieri, Riccardo Rovatti, Gianluca Setti. 3513-3516 [doi]
- Low rank approximation of a set of matricesMohammed A. Hasan. 3517-3520 [doi]
- Robust signal recovery approach for compressive sensing using unconstrained optimizationFlávio C. A. Teixeira, Stuart W. A. Bergen, Andreas Antoniou. 3521-3524 [doi]
- ZF-DFE transceiver for time-varying MIMO channels with channel-independent temporal precoderChih-Hao Liu, P. P. Vaidyanathan. 3525-3528 [doi]
- VLSI implementation of a quasi-ml, energy efficient fixed complexity sphere decoder for MIMO communication systemKelvin Lee, Babak Daneshrad. 3529-3532 [doi]
- A best-first tree-searching approach for ML decoding in MIMO systemChung-An Shen, Ahmed M. Eltawil, Sudip Mondal, Khaled N. Salama. 3533-3536 [doi]
- List based soft-decision MIMO detection by the MCTS algorithmXuebin Wu, Yongmei Dai, Zhiyuan Yan. 3537-3540 [doi]
- VLSI implementation of a hardware-optimized lattice reduction algorithm for WiMAX/LTE MIMO detectionAmeer Youssef, Mahdi Shabany, P. Glenn Gulak. 3541-3544 [doi]
- Novel programmable built-in current-sensor for analog, digital and mixed-signal circuitsOsman Kubilay Ekekon, Samed Maltabas, Martin Margala. 3545-3548 [doi]
- Two-tone PLL for on-chip IP3 testShakeel Ahmad, Kaveh Azizi, Iman Esmaeil Zadeh, Jerzy Dabrowski. 3549-3552 [doi]
- Scan based process parameter estimation through path-delay inequalitiesTakumi Uezono, Tomoyuki Takahashi, Michihiro Shintani, Kazumi Hatayama, Kazuya Masu, Hiroyuki Ochi, Takashi Sato. 3553-3556 [doi]
- An on-chip waveform capturing technique pursuing minimum cost of integrationYuuki Araga, Takushi Hashida, Makoto Nagata. 3557-3560 [doi]
- A cyclic vernier time-to-digital converter synthesized from a 65nm CMOS standard libraryYoungmin Park, David D. Wentzloff. 3561-3564 [doi]
- On the baseband hardware complexity of modernized GNSS receiversNagaraj Channarayapatna Shivaramaiah, Andrew G. Dempster. 3565-3568 [doi]
- RFID-based positioning for building management systemsArtur Krukowski, Dusan Arsenijevic. 3569-3572 [doi]
- A Slope-Based Multipath Estimation technique for mitigating short-delay multipath in GNSS receiversMohammad Zahidul H. Bhuiyan, Elena Simona Lohan, Markku Renfors. 3573-3576 [doi]
- Design for test of a low power multi-standard GPS/GALILEO RF front-endJaizki Mendizabal, Unai Alvarado, Iñigo Adin, Guillermo Bistue, Juan Meléndez, Roc Berenguer. 3577-3580 [doi]
- Event-driven, continuous-time ADCs and DSPs for adapting power dissipation to signal activityYannis P. Tsividis. 3581-3584 [doi]
- Targeting ultra-low power consumption with non-uniform sampling and filteringLaurent Fesquet, Gilles Sicard, Brigitte Bidégaray-Fesquet. 3585-3588 [doi]
- Adaptive signal acquisition and wireless power transfer for an implantable prosthesis processorStephen O Driscoll, Teresa H. Meng. 3589-3592 [doi]
- Analysis of ultralow-power asynchronous ADCsViswanathan Balasubramanian, Aravind Heragu, Christian C. Enz. 3593-3596 [doi]
- Rationale for optical interconnectAlberto Scandurra. 3597-3600 [doi]
- State of the art in optical interconnect technologyDries Van Thourhout. 3601-3604 [doi]
- Tools and methodologies for designing energy-efficient photonic networks-on-chip for highperformance chip multiprocessorsJohnnie Chan, Gilbert Hendry, Aleksandr Biberman, Keren Bergman. 3605-3608 [doi]
- Optical network-on-chip reconfigurable model for multi-level analysisAtef Allam, Ian O Connor, Alberto Scandurra. 3609-3612 [doi]
- A system-level exploration flow for optica network on chip (ONoC) in 3D MPSoCSébastien Le Beux, Gabriela Nicolescu, Guy Bois, Pierre G. Paulin. 3613-3616 [doi]
- Switched-resistor tuning technique for highly linear Gm-C filter designTao Wang, Gabor C. Temes. 3617-3620 [doi]
- Analysis of the common-mode induced differential-mode distortion in Gm-C filtersTerdpun Choogorn, Jirayuth Mahattanakul, Apisak Worapishet. 3621-3624 [doi]
- Bandwidth-enhancement gm-C filter with independent ωO and Q tuning mechanisms in both topology and control loopsHerminio Martínez, Eva Vidal, Andrea Cantó, Alberto Poveda, Francesc Guinjoan. 3625-3628 [doi]
- Source-follower-based bi-quad cell for continuous-time zero-pole type filtersYong Chen, Pui-In Mak, Yumei Zhou. 3629-3632 [doi]
- A compensation technique for compact low-voltage low-power active-RC filtersChairat Upathamkuekool, Amorn Jiraseree-amornkun, Jirayuth Mahattanakul. 3633-3636 [doi]
- An adaptive speed function of level set method for moving object extractionKousuke Imamura, Hideo Hashimoto. 3637-3640 [doi]
- Subpixel-based down-sampling via Min-Max Directional ErrorLu Fang, Oscar C. Au. 3641-3644 [doi]
- Improved mode selection in hybrid error concealment for multi-broadcast-receptionTobias Tröger, Henning Heiber, Andreas Schmitt, André Kaup. 3645-3648 [doi]
- A new motion vector composition algorithm for fast-forward video playback in H.264Tsz-Kwan Lee, Chang-Hong Fu, Yui-Lam Chan, Wan-Chi Siu. 3649-3652 [doi]
- Optimized inpainting-based macroblock prediction in video compressionYang Xu, Hongkai Xiong. 3653-3656 [doi]
- AMS and RF design for reliability methodologyPietro Maris Ferreira, Hervé Petit, Jean-François Naviner. 3657-3660 [doi]
- Fast algorithms for power grid analysis based on effective resistanceSelçuk Köse, Eby G. Friedman. 3661-3664 [doi]
- Scaling analysis of yield optimization considering supply and threshold voltage variationsKian Haghdad, Mohab Anis. 3665-3668 [doi]
- Signal integrity verification of coupled interconnect lines using efficient eye-diagram determinationDongchul Kim, Hyewon Kim, Yungseon Eo. 3669-3672 [doi]
- Monte-Carlo-based statistical soft error rate (SSER) analysis for the deep sub-micron eraYu-Shin Kuo, Huan-Kai Peng, Charles H.-P. Wen. 3673-3676 [doi]
- Efficient discovery of unknown ads for audio podcast contentMinh Nhut Nguyen, Qi Tian, Ping Xue. 3677-3680 [doi]
- Extraction of robust visual phrases using graph mining for image retrievalJun-Bin Yeh, Chung-Hsien Wu. 3681-3684 [doi]
- Audio onset detection using energy-based and pitch-based processingHui Li Tan, Yongwei Zhu, Lekha Chaisorn, Susanto Rahardja. 3689-3692 [doi]
- Video activity detection using compressed domain motion trajectories for H.264 videosHaowei Liu, Ming-Ting Sun, Ruei-Cheng Wu, Shiaw-Shian Yu. 3693-3696 [doi]
- Analysis and implementation of a DC-DC step-down converter for low output-voltage and high output-current applicationsChih-Hsien Hsieh, Tsorng-Juu Liang, Lung-Sheng Yang, Ray-Lee Lin, Kai-Hui Chen. 3697-3700 [doi]
- Three-phase single-stage AC-DC convertersDunisha Wijeratne, Gerry Moschopoulos. 3701-3704 [doi]
- Two-switch flyback-forward PWM DC-DC converter with reduced switch voltage stressDakshina Murthy-Bellur, Marian K. Kazimierczuk. 3705-3708 [doi]
- Dual modulation technique for high efficiency in high switching buck converters over a wide load rangeJen-Chieh Tsai, Tsung-Ying Huang, Wang-Wei Lai, Ke-Horng Chen. 3709-3712 [doi]
- Design and implementation of high frequency AC-LED driver with digital dimmingChao-Lung Kuo, Tsorng-Juu Liang, Kai-Hui Chen, Jiann-Fuh Chen. 3713-3716 [doi]
- DSTN sleep transistor sizing with a new approach to estimate the maximum instantaneous currentYu Sun, Li-yi Xiao, Cong Shi. 3717-3720 [doi]
- Power characteristics of Networks on ChipMohamed A. Abd El ghany, Magdy A. El-Moursy, Darek Korzec, Mohammed Ismail. 3721-3724 [doi]
- Multi-objective optimization for Networks-on-Chip architectures using Genetic AlgorithmsAhmed A. Morgan, Haytham Elmiligi, M. Watheq El-Kharashi, Fayez Gebali. 3725-3728 [doi]
- Efficient partitioning technique on multiple cores based on optimal scheduling and mapping algorithmHassan A. Youness, Abdel-Moniem Wahdan, Mohammed Hassan, Ashraf Salem, Mohammed Moness, Keishi Sakanushi, Yoshinori Takeuchi, Masaharu Imai. 3729-3732 [doi]
- Distinguishable error detection method for Network on ChipChung-Huang Jiang, Kun-Lin Tsai, Feipei Lai, Shun-Hung Tsai. 3733-3736 [doi]
- Efficient memory management for FFT processorsHsin-Fu Luo, Ming-Der Shieh, Yi-Jun Liu, Chien-Ming Wu. 3737-3740 [doi]
- Parallel scalable hardware architecture for hard Raptor decoderTodor Mladenov, Saeid Nooshabadi, Keseon Kim, Alberto Dassatti. 3741-3744 [doi]
- VLSI implementation of a low-complexity LLL lattice reduction algorithm for MIMO detectionLukas Bruderer, Christoph Studer, Markus Wenk, Dominik Seethaler, Andreas Burg. 3745-3748 [doi]
- Impact of module design on the signal-isolation of mixed-signal RF applicationsRadu M. Secareanu, Jian Yang, Qiang Li, Luis Briones, Salem Eid, Vigier Jean-Stephane, Olin L. Hartin. 3749-3752 [doi]
- Low-cost class caching mechanism for Java SoCChien-Feng Hwang, Kuan-Nian Su, Chun-Jen Tsai. 3753-3756 [doi]
- Continuous-time CMOS quantizer for ultra-wideband applicationsTuan Anh Vu, Shanthi Sudalaiyandi, Malihe Zarre Dooghabadi, Håkon A. Hjortland, Øivind Næss, Tor Sverre Lande, Svein-Erik Hamran. 3757-3760 [doi]
- Performance improvement of autocorrelation detector used in UWB impulse radioTamás Krébesz, Géza Kolumbán, Chi Kong Tse, Francis C. M. Lau. 3761-3764 [doi]
- A sign-bit auto-correlation architecture for fractional frequency offset estimation in OFDMIsael Diaz, Leif Wilhelmsson, Joachim Neves Rodrigues, Johan Löfgren, Thomas Olsson, Viktor Öwall. 3765-3768 [doi]
- Rapid design and prototyping of universal soft demapperAtif Raza Jafri, Amer Baghdadi, Michel Jézéquel. 3769-3772 [doi]
- On some recent advances in synchronization and control of Complex NetworksJinhu Lü, Guanrong Chen, Mario di Bernardo. 3773-3776 [doi]
- Community detection enhancement in networks using proper weighting and partial synchronizationAlireza Khadivi, Ali Ajdari Rad, Martin Hasler. 3777-3780 [doi]
- Constructing high-rate scale-free LDPC codesXia Zheng, Francis C. M. Lau, Chi Kong Tse. 3781-3784 [doi]
- On control of networks of dynamical systemsChai Wah Wu. 3785-3788 [doi]
- Hardware accelerators for biocomputing: A surveySouradip Sarkar, Turbo Majumder, Ananth Kalyanaraman, Partha Pratim Pande. 3789-3792 [doi]
- Evaluating Cell/B.E software cache for ClustalWVipin Sachdeva, Michael Kistler, David A. Bader. 3793-3796 [doi]
- CAAD BLASTn: Accelerated NCBI BLASTn with FPGA prefilteringJin H. Park, Yunfei Qiu, Martin C. Herbordt. 3797-3800 [doi]
- To GPU synchronize or not GPU synchronize?Wu-chun Feng, Shucai Xiao. 3801-3804 [doi]
- High performance Molecular Dynamic simulation on single and multi-GPU systemsOreste Villa, Long Chen, Sriram Krishnamoorthy. 3805-3808 [doi]
- History and future of auditory filter modelsRichard F. Lyon, Andreas G. Katsiamis, Emmanuel M. Drakakis. 3809-3812 [doi]
- Auditory speech processing for scale-shift covariance and its evaluation in automatic speech recognitionRoy D. Patterson, Thomas C. Walters, Jessica Monaghan, Christian Feldbauer, Toshio Irino. 3813-3816 [doi]
- Investigating the implications of outer hair cell connectivity using a silicon cochleaTara Julia Hamilton, Jonathan Tapson, Craig T. Jin, André van Schaik. 3817-3820 [doi]
- Real-time, high-resolution simulation of the auditory pathway, with application to cell-phone noise reductionLloyd Watts. 3821-3824 [doi]
- A cochlear heterodyning architecture for an RF foveaSoumyajit Mandal, Rahul Sarpeshkar. 3825-3828 [doi]
- Energy model of CMOS gates using a piecewise linear modelCheng C. Liu, Jian Chang, Louis G. Johnson. 3829-3832 [doi]
- Low-voltage SOI CMOS DTMOS/MTCMOS circuit technique for design optimization of low-power SOC applicationsChih-Hsiang Lin, James B. Kuo. 3833-3836 [doi]
- Energy-efficient asynchronous delay element with wide controllabilityMariya Kurchuk, Yannis P. Tsividis. 3837-3840 [doi]
- Energy profile of a microcontroller for neural prosthetic applicationSpencer Kellis, Nathaniel Gaskin, Bennion Redd, Jeff Campbell, Richard Brown. 3841-3844 [doi]
- Smooth awakenings: Reactivation noise suppressed low-leakage and robust MTCMOS flip-flopsHailong Jiao, Volkan Kursun. 3845-3848 [doi]
- Permutation optimization for SIMD devicesLibo Huang, Li Shen, Zhiying Wang. 3849-3852 [doi]
- A scalable offset-cancelled current/voltage sense amplifierHourieh Attarzadeh, Mohammad Sharifkhani, Shah M. Jahinuzzaman. 3853-3856 [doi]
- Architecture of a multi-slot main memory system for 3.2 Gbps operationJaejun Lee, Sungho Lee, Joontae Park, Sangwook Nam. 3857-3860 [doi]
- A 7.7mW/1.0ns/1.35V delay locked loop with racing mode and OA-DCC for DRAM interfaceHyun-Woo Lee, Yong Hoon Kim, Won-Joo Yun, Eun-Young Park, Kang Youl Lee, Jaeil Kim, Kwang Hyun Kim, Jong Ho Jung, Kyung-whan Kim, Nam Gyu Rye, Kwan-Weon Kim, Jun Hyun Chun, Chulwoo Kim, Young-Jung Choi, Byong-Tae Chung, Joong Sik Kih. 3861-3864 [doi]
- SRAM portless bitcell and current-mode readingLahcen Hamouche, Bruno Allard. 3865-3868 [doi]
- Recursive architectures for 2DLNS multiplicationMahzad Azarmehr, Majid Ahmadi, Graham A. Jullien. 3869-3872 [doi]
- Application-level pipelining on Hierarchical NoCWei Yi, Hongbing Pan, Peng Pan, Li Li, Minglun Gao, Ning Hou, Gaoming Du, Duoli Zhang. 3873-3876 [doi]
- Full system simulation with QEMU: An approach to multi-view 3D GPU designShye-Tzeng Shen, Shin-Ying Lee, Chung-Ho Chen. 3877-3880 [doi]
- Truncated MCM using pattern modification for FIR filter implementationRui Guo, Linda DeBrunner, Kenny Johansson. 3881-3884 [doi]
- Residue arithmetic bases for reducing delay variationIoannis Kouretas, Vassilis Paliouras. 3885-3888 [doi]
- Modeling of RLC interconnect linesHeba A. Shawkey, Magdy A. El-Moursy. 3889-3892 [doi]
- Error control integration scheme for reliable NoCQiaoyan Yu, Bo Zhang, Yan Li, Paul Ampadu. 3893-3896 [doi]
- Analysis and test of electromigration failures in FPGAsBarath Vasudevan, Mohammed Y. Niamat, Mansoor Alam, Srinivasa Vemuru. 3905-3908 [doi]
- Prototype design and implementation of a load-balanced Birkhoff-von Neumann switchHung-Shih Chueh, Ching-Min Su, Chia-Tung Kuo, Cheng-Shang Chang, Duan-Shin Lee. 3909-3912 [doi]
- Distributed control for link failure based on tie-sets in information networksKiyoshi Nakayama, Norihiko Shinomiya, Hitoshi Watanabe. 3913-3916 [doi]
- A complete system-level behavioural model for IEEE 802.15.4 Wireless Sensor Network simulationsDavid Navarro, Wan Du, Fabien Mieyeville, Frédéric Gaffiot. 3917-3920 [doi]
- Bandpass sampling Rx system design issues and architecture comparison for low power RF standardsLuis Lolis, Carolynn Bernier, Michaël Pelissier, Dominique Dallet, Jean-Baptiste Begueret. 3921-3924 [doi]
- Design and implementation of a direct RF-to-digital UHF-TV multichannel transceiverMikel Sanchez, Javier Del Ser, Pablo Prieto, David Dominguez. 3925-3928 [doi]
- A flexible LDPC decoder architecture supporting two decoding algorithmsShuangqu Huang, Dan Bao, Bo Xiang, Yun Chen, Xiaoyang Zeng. 3929-3932 [doi]
- High-performance architecture for Elliptic Curve Cryptography over binary fieldJyu-Yuan Lai, Tzu-Yu Hung, Kai-Hsiang Yang, Chih-Tsun Huang. 3933-3936 [doi]
- Low power decoder design for QC-LDPC codesKai He, Jin Sha, Li Li, Zhongfeng Wang. 3937-3940 [doi]
- An improved soft BCH decoder with one extra error compensationYi-Min Lin, Hsie-Chia Chang, Chen-Yi Lee. 3941-3944 [doi]
- A fast hash tree generator for Merkle signature schemeAbdulhadi Shoufan, Nico Huber. 3945-3948 [doi]
- A 4×4 64-QAM reduced-complexity K-best MIMO detector up to 1.5GbpsPei-Yun Tsai, Wei-Tzuo Chen, Xing-Cheng Lin, Meng Yuan Huang. 3953-3956 [doi]
- Design of 4 × 4 MIMO-OFDMA receiver with precode codebook search for 3GPP-LTEChia-Ching Lee, Chun-Fu Liao, Chao-Ming Chen, Yuan-Hao Huang. 3957-3960 [doi]
- Flexible and distributed real-time control on a 4G telecom MPSoCCamille Jalier, Didier Lattard, Gilles Sassatelli, Pascal Benoit, Lionel Torres. 3961-3964 [doi]
- Perfect shuffling for cycle efficient puncturer and interleaver for software defined radioJui-Chieh Lin, Minja Hsieh, Ming-Jung Fan-Chiang, Song-Yen Mao, Chu Yu, Sao-Jie Chen, Yu Hen Hu. 3965-3968 [doi]
- Design and performance considerations for an on-chip jitter analysis systemStefan Erb, Wolfgang Pribyl. 3969-3972 [doi]
- An area efficient asynchronous gated ring oscillator TDC with minimum GRO stagesKyu-Dong Hwang, Lee-Sup Kim. 3973-3976 [doi]
- Data link design using a time-based approachMostafa Rashdan, Abdel Yousif, James W. Haslett, Brent Maundy. 3977-3980 [doi]
- A low-latency NoC router with lookahead bypassLing Xin, Chiu-sing Choy. 3981-3984 [doi]
- Reduction of the effects of spurious PLL tones on A/D convertersShang-Kee Ting, Ali H. Sayed. 3985-3988 [doi]
- A 6mW 480MHz continuous time ΣΔ modulator with 65dB DR over 5MHz bandwidth in 65nm CMOSOguz Altun, Ayman Fayed, Russell Byrd, Rahmi Hezar, Gaurav Chandra, Gabriel Gomez. 3989-3992 [doi]
- Digitally assisted multi-Bit ΣΔ modulatorHervé Caracciolo, Edoardo Bonizzoni, Franco Maloberti, George S. La Rue. 3993-3996 [doi]
- Jitter analysis of bandpass continuous-time ΣΔMs for different feedback DAC shapesAhmed Ashry, Hassan Aboushady. 3997-4000 [doi]
- Noise-coupled low-power incremental ADCsYan Wang, Chia-Hung Chen, Wenhuan Yu, Gabor C. Temes. 4001-4004 [doi]
- A double-sampled path-coupled single-loop ΣΔ modulator using noise-shaped integrating quantizerNima Maghari, Un-Ku Moon. 4005-4008 [doi]
- Continuous Time Cascade Sigma Delta Modulator without digital cancellation filtersSusanna Patón, Juan A. Torreño, Enrique Prefasi, Luis Hernández. 4009-4012 [doi]
- A new interpolation technique for TI ΣΔ A/D convertersChadi Jabbour, Ali Beydoun, Van Tam Nguyen, Patrick Loumeau. 4013-4016 [doi]
- A technique to reduce the impact of hysterisys in ΣΔ analog to digital convertersChadi Jabbour, Van Tam Nguyen, Patrick Loumeau. 4017-4020 [doi]
- Impact of MOS threshold-voltage mismatch in current-steering DACs for CT ΣΔ modulatorsMattias Andersson, Martin Anderson, Pietro Andreani, Lars Sundström. 4021-4024 [doi]
- Code division parallel delta-sigma A/D converter with probabilistic iterative decodingMalisa Marijan, Zeljko Ignjatovic. 4025-4028 [doi]
- High-bandwidth power-scalable 10-bit pipelined ADC using bandwidth-reconfigurable operational amplifierJi-Eun Jang, Yung-Kuang Miao, Yung-Pin Lee. 4029-4032 [doi]
- A 10-b 100-MS/s pipelined ADC with an optimized bit-stage resolution in 65nm CMOS technologyPasquale Delizia, Gianni Saccomanno, Stefano D Amico, Andrea Baschirotto. 4033-4036 [doi]
- A pipelined analog-to-digital converter using incomplete-settling-without-slewing techniqueKuan-Yu Lin, Ji-Eun Jang, Ching-Hsuan Hsieh, Yung-Pin Lee. 4037-4040 [doi]
- A 10-bit 300MSample/s pipelined ADC using time-interleaved SAR ADC for front-end stagesYoung-Hwa Kim, Jaewon Lee, SeongHwan Cho. 4041-4044 [doi]
- A 1.5V 12-b 40 MSamples/s CMOS pipelined ADCChi-Chang Lu, Wei-Xiang Tung. 4045-4048 [doi]
- Calibration of pipelined ADC gain and memory errors in an adaptively equalized receiverM. M. Zhang, Paul J. Hurst, Bernard C. Levy, Stephen H. Lewis. 4049-4052 [doi]
- A parametric polyphase domain approach to blind calibration of timing mismatches for M-channel time-interleaved ADCsPatrick Satarzadeh, Bernard C. Levy, Paul J. Hurst. 4053-4056 [doi]
- Domino ADC: A novel analog-to-digital converter architectureMohammad Takhti, Amir M. Sodagar, Reza Lotfi. 4057-4060 [doi]
- A voltage feedback charge compensation technique for split DAC architecture in SAR ADCsYan Zhu, Chi-Hang Chan, U. Fat Chio, Sai-Weng Sin, Seng-Pan U., Rui Paulo Martins. 4061-4064 [doi]
- A novel multiplying D/A converter stage with low sensitivity to amplifier gainErkan Nevzat Isa, Dominique Morche, Catherine Dehollain. 4065-4068 [doi]
- A CMOS Sub-l-V nanopower current and voltage reference with leakage compensationZhangcai Huang, Qin Luo, Yasuaki Inoue. 4069-4072 [doi]
- A novel CMOS Bandgap reference circuit with improved high-order temperature compensationSavvas Koudounas, Charalambos M. Andreou, Julius Georgiou. 4073-4076 [doi]
- Charge-pump based frequency regulator for precision supply generationAntti Kalanti, Mikail Yücetas, Jarno Salomaa, Lasse Aaltonen, Kari Halonen. 4077-4080 [doi]
- A low power DC-DC converter for scavenged power wireless sensor networksJerry Lam, Calvin Plett. 4081-4084 [doi]
- An RF power harvesting system with input-tuning for long-range RFID tagsAlireza Sharif Bakhtiar, Mohammad Sadegh Jalali, Shahriar Mirabbasi. 4085-4088 [doi]
- An all-digital smart temperature sensor with auto-calibration in 65nm CMOS technologyChing-Che Chung, Cheng-Ruei Yang. 4089-4092 [doi]
- Design of cost-efficient multipliers modulo 2:::a:::-1Stanislaw J. Piestrak. 4093-4096 [doi]
- A ratioless and biasless static CMOS level shifterPhilippe O. Pouliquen. 4097-4100 [doi]
- Efficiently using data splitting and retransmission to tolerate faults in networks-on-chip interconnectsMatheus Braga, Érika F. Cota, Fernanda Lima Kastensmidt, Marcelo Lubaszewski. 4101-4104 [doi]
- An efficient pulse flip-flop based launch-on-shift scan cellRajesh Kumar, Sunil P. Khatri. 4105-4108 [doi]
- Architecture design of stereo matching using belief propagationChao-Chung Cheng, Chung-Te Li, Chia-Kai Liang, Yen-Chieh Lai, Liang-Gee Chen. 4109-4112 [doi]
- High throughput area-efficient SoC-based forward/inverse integer transforms for H.264/AVCTrang T. T. Do, Thinh M. Le. 4113-4116 [doi]
- Highly parallel multi-resource arbitersDelong Shang, Fei Xia, Alexandre Yakovlev. 4117-4120 [doi]
- Hierarchical data structure-based timing controller design for plasma display panelsYeoul Na, Seokjoong Hwang, Giseong Bak, Seon Wook Kim, Cheol-Ho Lee, Junkyu Min, Taejin Kim. 4121-4124 [doi]
- Dynamically adaptable architecture for real-time video processingNicolas Ngan, Eva Dokladalova, Mohamed Akil, François Contou-Carrère. 4125-4128 [doi]
- Stochastic analysis of power, latency and the degree of concurrencyYuan Chen, Isi Mitrani, Delong Shang, Fei Xia, Alexandre Yakovlev. 4129-4132 [doi]
- IP-cores design for the kNN classifierElias S. Manolakos, Ioannis Stamoulias. 4133-4136 [doi]
- A single-event upset hardening technique for high speed MOS Current Mode LogicMahta Haghi, Jeff Draper. 4137-4140 [doi]
- Extended division range 2/3 chain frequency divider with dynamic control wordHaytham Ashour, Mohamed Dessouky, Khaled Sharaf. 4141-4144 [doi]
- Twiddle factor memory switching activity analysis of radix-2:::2::: and equivalent FFT algorithmsFahad Qureshi, Oscar Gustafsson. 4145-4148 [doi]
- Fixed-width CSD multipliers with minimum mean square errorNicola Petra, Davide De Caro, Antonio G. M. Strollo, Valeria Garofalo, Ettore Napoli, Marino Coppola, Pietro Todisco. 4149-4152 [doi]
- A new non-uniform segmentation and addressing remapping strategy for hardware-oriented function evaluators based on polynomial approximationHou-Jen Ko, Shen-Fu Hsiao, Wen-Liang Huang. 4153-4156 [doi]
- A novel truncated squarer with linear compensation functionValeria Garofalo, Marino Coppola, Davide De Caro, Ettore Napoli, Nicola Petra, Antonio G. M. Strollo. 4157-4160 [doi]
- A new four-modulus RNS to binary converterAmir Sabbagh Molahosseini, Faegheh Teymouri, Keivan Navi. 4161-4164 [doi]
- Improving energy efficiency of functional units by exploiting their data-dependent latencyShih-Hao Ou, Yen-Cheng Lin, Tay-Jyi Lin, Chih-Wei Liu. 4165-4168 [doi]
- An adaptive Space-Time Coding / Spatial Multiplexing detector on FPGAWilliam Nurmi, Saeid Nooshabadi. 4169-4172 [doi]
- Low-complexity Reed-Solomon decoder for optical communicationsYung-Kuei Lu, Ming-Der Shieh, Chien-Ming Wu. 4173-4176 [doi]
- Associating packets of heterogeneous cores using a synchronizer wrapper for NoCsDebora Matos, Luigi Carro, Altamiro Amadeu Susin. 4177-4180 [doi]
- MMSE-QR factorization systolic array design for applications in MIMO signal detectionsYin-Tsung Hwang, Wei-Da Chen. 4181-4184 [doi]
- A robust FIR filter with in situ error detectionPaul N. Whatmough, Izzat Darwazeh, David M. Bull, Shidhartha Das, Danny Kershaw. 4185-4188 [doi]
- Efficient zero-block mode decision algorithm for high bit-rate coding in H.264/AVCWei-Yao Chiu, Yu-Ming Lee, Yinyi Lin. 4189-4192 [doi]
- Efficient SIMD-based implementation of adaptive filterAntti Hallapuro, Dmytro Rusanovskyy, Kemal Ugur, Jani Lainema, Moncef Gabbouj. 4193-4196 [doi]
- Adaptive quantization parameter cascading for hierarchical video codingXiang Li, Peter Amon, Andreas Hutter, André Kaup. 4197-4200 [doi]
- Error resilient scalability for video bit-stream over heterogeneous packet loss networksDong Zhang, Yi Guo, Houqiang Li, Chang Wen Chen. 4201-4204 [doi]
- Prediction-based adaptive transform coefficients scanning for inter-frame video codingXiang Li, Lingzhi Liu, Nam Ling, Jianhua Zheng, Philipp Zhang. 4205-4208 [doi]
- Adaptive spatial prediction in intra codingYu Chen, Lu Yu. 4209-4212 [doi]
- Adaptive block-size transform based just-noticeable difference profile for videosLin Ma, King N. Ngan. 4213-4216 [doi]
- Perceptually optimized error resilient transcoding using attention-based intra refreshViet Anh Nguyen, Zhenzhong Chen, Yap-Peng Tan. 4217-4220 [doi]
- Line-based image coding using adaptive prediction filtersXiulian Peng, Jizheng Xu, Feng Wu. 4221-4224 [doi]
- Image information splitting framework with importance sampling for robust transmissionChia-Liang Tsai, Shao-Yi Chien. 4225-4228 [doi]
- A SPARC-compatible general purpose address-event processor with 20-bit l0ns-resolution asynchronous sensor data interface in 0.18μm CMOSMichael Hofstätter, Peter Schön, Christoph Posch. 4229-4232 [doi]
- Linear sparse array synthesis via convex optimizationLing Cen, Wee Ser, Wei Cen, Zhu Liang Yu. 4233-4236 [doi]
- On the AER convolution processors for FPGAAlejandro Linares-Barranco, Rafael Paz-Vicente, Francisco Gomez-Rodriguez, A. Jiménez, Manuel Rivas, Gabriel Jiménez, Antón Civit. 4237-4240 [doi]
- A log-domain implementation of the Mihalas-Niebur neuron modelAndré van Schaik, Craig T. Jin, Alistair McEwan, Tara Julia Hamilton, Stefan Mihalas, Ernst Niebur. 4249-4252 [doi]
- A log-domain implementation of the Izhikevich neuron modelAndré van Schaik, Craig T. Jin, Alistair McEwan, Tara Julia Hamilton. 4253-4256 [doi]
- An 80×80 general-purpose digital vision chip in 0.18μm CMOS technologyAlexey Lopich, Piotr Dudek. 4257-4260 [doi]
- A wide dynamic range integrating pixel with an improved low light sensitivityDipayan Das, Steve Collins. 4261-4264 [doi]
- Linear current mode image sensor with focal plane spatial image processingRaphael Njuguna, Viktor Gruev. 4265-4268 [doi]
- Oscillation frequency analysis of N-stage CMOS ring oscillator with wired-OR connectionsTakeshi Shima, Takashi Kusaga. 4269-4272 [doi]
- Detailed analysis of a phase ADCBudhaditya Banerjee, Christian C. Enz, Erwan Le Roux. 4273-4276 [doi]
- Roles and limitations of two widely publicized equations in predicting phase shift impulse response of a simple 2-D oscillatorMan-Young Jeon, Dong-Rok Lee. 4277-4280 [doi]
- Time delay circuits: A quality criterion for delay variations versus frequencySeyek Kasra Garakoui, Eric A. M. Klumperink, Bram Nauta, Frank E. van Vliet. 4281-4284 [doi]
- Super-regeneration-inspired time-based testing of LC-tank oscillatorsMona Safi-Harb, Mohamad Sawan, Shahriar Mirabbasi. 4285-4288 [doi]
- A Ku-band down-converter with perfect differential PLL in 0.18um CMOSKiyoshi Miyashita. 4289-4292 [doi]
- Distortion analysis of 30Gsample/s CMOS switched source followerHailang Liang, Rob J. Evans, Efstratios Skandas. 4293-4296 [doi]
- High-speed CMOS track-and-hold with an offset cancellation replica circuitMahzad Azarmehr, Rashid Rashidzadeh, Majid Ahmadi. 4297-4300 [doi]
- High-speed and low-power programmable frequency dividerTing-Hsu Chien, Chi-Sheng Lin, Chin-Long Wey, Ying-Zong Juang, Chun-Ming Huang. 4301-4304 [doi]
- A novel MUX-FF circuit for low power and high speed serial link interfacesWei-Yu Tsai, Ching-Te Chiu, Jen-Ming Wu, Shuo-Hung Hsu, Yarsun Hsu. 4305-4308 [doi]