Abstract is missing.
- Towards quantum information with trapped calcium ionsC. F. Roos, Harald Rohde, Stephan Gulde, Andreas Mundt, Georges Reymond, Madeleine Lederbauer, Jürgen Eschner, Ferdinand Schmidt-Kaler, Dietrich Leibfried, Rainer Blatt. [doi]
- Tunnel diode integrated circuitsAlan C. Seabaugh. [doi]
- SNDR sensitivity analysis for cascaded ΣΔ modulatorsJames C. Morizio, Mike Hoke, Taskin Kocak, Clark Geddie, Chris Hughes, John Perry, Srinadh Madhavapeddi, Mike Hood, Ward Huffman, Takashi Okuda, Hiroshi Noda, Yasuo Morimoto, Toshio Kumamoto, Masahiko Ishiwaki, Harufusa Kondoh, Masao Nakaya, Takahiro Miki. [doi]
- Modeling nanoelectronic CNN cells: CMOS, SETs and QCAsCosta Gerousis, Stephen M. Goodnick, Xiaohui Wang, Wolfgang Porod, Árpád Csurgay, Geza Toth, Craig S. Lent. [doi]
- Challenge to off-roadmap silicon devicesAkira Toriumi. [doi]
- Achieving optimal rate-distortion performance in arbitrarily-shaped transform codingGuobin Shen, Bing Zeng, Ming-Lei Liou. 1-4 [doi]
- High-speed bipolar MUX modeling and designMassimo Alioto, Gaetano Palumbo. 1-4 [doi]
- Toward nanoelectronic systems integrationÁrpád Csurgay, Wolfgang Porod. 1-4 [doi]
- Ultra-low standby-currents for deep sub-micron VLSI CMOS circuits: smart series switchP. R. van der Meer, A. van Staveren, A. H. M. van Roermund. 1-4 [doi]
- A low-power and high-frequency CMOS transceiver for chip-to-chip interconnectionWei-Jean Liu, R. R.-B. Sheen, Jen-Shang Hwang, O. T.-C. Chen. 1-4 [doi]
- Molecular nanoelectronicsRichard Stanley Williams, Philip J. Kuekes. 5-7 [doi]
- Very low-voltage class AB CMOS precision voltage and current rectifiersJaime Ramírez-Angulo, Ramón G. Carvajal, Juana M. Martínez-Heredia, Antonio Torralba. 5-8 [doi]
- A robust deep submicron programmable DC voltage generatorM. M. Hafed, S. Laberge, G. W. Roberts. 5-8 [doi]
- A 3.3 V tunable current-mode square-root domain biquadAntonio J. Lopez-Martin, Alfonso Carlosena. 5-8 [doi]
- An efficient hybrid arbitrarily-shaped object coding techniqueGuobin Shen, Bing Zeng, Ming-Lei Liou. 5-8 [doi]
- From nanotechnology to nanoelectronic systems, from SETs to neural netsArthur H. M. van Roermund, Jaap Hoekstra. 8-11 [doi]
- Compact current input oversampling modulator design for a scalable high frame rate focal plane arraysYoungjoong Joo, Martin A. Brooke, Nan M. Jokerst. 9-12 [doi]
- Low-power decimation filters for oversampling ADCs via the decorrelating (DECOR) transformDongwon See, N. R. Shanbhag, M. Feng. 9-12 [doi]
- Performance of submicron CMOS devices and gates with substrate biasingXiaomei Liu, S. Mourad. 9-12 [doi]
- Joint bit-allocation for MPEG encoding of multiple video sequences with minimum quality-variationHani Sorial, William E. Lynch, André Vincent. 9-12 [doi]
- A new pipelined digit serial-parallel multiplierOmar Nibouche, Ahmed Bouridane, Mokhtar Nibouche, Danny Crookes. 12-15 [doi]
- High-speed high-precision voltage-mode MIN/MAX circuits in CMOS technologyRamón G. Carvajal, Jaime Ramírez-Angula, Jonathan Noel Tombs. 13-16 [doi]
- Towards jointly optimal rate allocation for multiple videos with possibly different frame ratesChung-Wen Hung, David W. Lin. 13-16 [doi]
- A digitally controlled low-power clock multiplier for globally asynchronous locally synchronous designsT. Olsson, P. Nilsson, T. Meincke, A. Hemam, M. Torkelson. 13-16 [doi]
- Combating digital noise in high speed ULSI circuits using binary BCH encodingD. Pamunuwa, Li-Rong Zheng, Hannu Tenhunen. 13-16 [doi]
- A pipelined multiply-accumulate unit design for energy recovery DSP systemsDusan Suvakovic, C. Andre T. Salama. 16-19 [doi]
- Object-based transcoding for scalable quality of serviceA. Vetro, Huifang Sun, Yao Wang. 17-20 [doi]
- One chip-low power digital-TCXO with sub-ppm accuracySe-Joong Lee, Jin Ho Han, Seung-Ho Hank, Joe-Ho Lee, Jung-Su Kim, Min-Kyu Je, Hoi-Jun Yoo. 17-20 [doi]
- Dynamic rate control in multipoint video transcodingChia-Wen Lin, Te-Jen-Liou, Yung-Chang Chen. 17-20 [doi]
- TAT transistors on SOT array for mixed analog/digital applicationsJung Hyun Choi, Sergio Bampi. 17-20 [doi]
- Designing RNS and QRNS full adder based convertersD. J. Soudris, M. M. Dasigenis, Adonios Thanailakis. 20-23 [doi]
- Resource allocation for audio and video streaming over the InternetQian Zhang, Ya-Qin Zhang, Wenwu Zhu 0001. 21-24 [doi]
- Multirate-multibit sigma-delta modulatorsFrancisco Colodro, Antonio Jesús Torralba Silgado, Alfredo Pérez Vegaleal, Leopoldo García Franquelo. 21-24 [doi]
- A new fractal zerotree coding for wavelet imageMeng Wu, H. O. Ahmad, M. N. S. Swamy. 21-24 [doi]
- A confidence measure based moving object extraction system built for compressed domainRoy Wang, Hong-Jiang Zhang, Ya-Qin Zhang. 21-24 [doi]
- Residue arithmetic circuits using a signed-digit number representationShugang Wei, Kensuke Shimizu. 24-27 [doi]
- Two-step quantization architectures for multibit ΔΣ-modulatorsSaska Lindfors, Kari Halonen. 25-28 [doi]
- Fast video transcoding architectures for networked multimedia applicationsJeongnam Youn, Jun Xin, Ming-Ting Sun. 25-28 [doi]
- Efficient encoding of the significance maps in wavelet based image compressionL. Oktem, R. Oktem, K. Eguiazarian, J. Astola. 25-28 [doi]
- Color object segmentation with eigen-based fuzzy C-meansJar-Ferr Yang, Shu-Sheng Hao, Pau-Choo Chung, Chieh-Ling Huang. 25-28 [doi]
- A 670 ps, 64 bit dynamic low-power adder designRamchan Woo, Se-Joong Lee, Hoi-Jun Yoo. 28-31 [doi]
- Spatiotemporal segmentation and tracking of objects in color image sequencesIoannis Kompatsiaris, George Mantzaras, Michael G. Strintzis. 29-32 [doi]
- A background calibration technique for multibit delta-sigma modulatorsCraig Petrie, Matt Miller. 29-32 [doi]
- Analysis-based adaptive wavelet filter selection in lossy image coding schemesS. Saha, R. Vemuri. 29-32 [doi]
- Video coding for Internet and push-pull communicationWeiping Li. 29-32 [doi]
- Semiautomatic video object generation using multivalued watershed and partition lattice operatorsDaniel Gatica-Perez, Ming-Ting Sun, Chuang Gu. 32-35 [doi]
- Low complexity 2-D Hidden Markov Model for face recognitionHisham Othman, Tyseer Aboulnasr. 33-36 [doi]
- Improved adaptive digital compensation for cascaded ΔΣ ADCsPeter Kiss, Jose Silva, Un-Ku Moon, John T. Stonick, Gabor C. Temes. 33-36 [doi]
- Principles and applications of content-aware video communicationShih-Fu Chang, P. Bocheck. 33-36 [doi]
- An efficient spatial prediction-based image compression schemeChin-Hwa Kuo, Tzu-Chuan Chou, Tay-Shen Wang. 33-36 [doi]
- Automatic extraction of moving objects using multiple features and multiple framesJinhui Pan, Shipeng Li, Ya-Qin Zhang. 36-39 [doi]
- A modified two-step SOVA-based turbo decoder with a fixed scaling factorDai Won Kim, Taek Won Kwon, Jun Rim Choi, Jun Jin Kong. 37-40 [doi]
- High-order cascade multibit ΣΔ modulators for xDSL applicationsRocío Del Río, Fernando Manuel Medeiro Hidalgo, Maria Belen Pérez-Verdú, Ángel Rodríguez-Vázquez. 37-40 [doi]
- A novel algorithm for edge detection from direction-derived statisticsGeorge C. Lai, Rui J. P. de Figueiredo. 37-40 [doi]
- A hybrid image compression algorithm based on fractal coding and wavelet transformI. Andreopoulos, Y. A. Karayianris, T. Stouruaitis. 37-40 [doi]
- Automatic FDP/FAP generation from an image sequenceJin-Woo Kim, Munjae Song, Ig-Jae Kim, Yong-Moo Kwon, Hyoung-Gon Kim, Sang Chul Ahn. 40-43 [doi]
- A parametric module design framework and its application to gate-level datapath/DSP module synthesisMing-Luen Liou, Tzi-Dar Chiueh. 41-44 [doi]
- MPEG-2 4: 2: 2@HL encoder chip setH. Sato, H. Ohira, M. Kazayama, A. Harada, M. Yoshimoto, O. Tanno, S. Kumaki, K. Ishibara, A. Hanami, T. Mutsumura. 41-44 [doi]
- High dynamic range double-balanced active mixers using lossless feedbackChris Trask. 41-44 [doi]
- Slovenian text-to-speech systemTomaz Sef. 41-44 [doi]
- MPEG-J: Java application engine in MPEG-4Viswanathan Swaminathan, Gerard Fernando. 44-47 [doi]
- High-speed wide-locking range VCO with frequency calibrationTakeo Yasuda. 45-48 [doi]
- Adaptive channel estimation using the GOBA algorithm for turbo codes in Rayleigh flat-fading channelsChin-Liang Wang, Jah-Ming Hsu, Ting-Yang Chang. 45-48 [doi]
- Adaptive Harmonic Fractional Fourier TransformFeng Zhang, Yan Qiu Chen, Guoan Bi. 45-48 [doi]
- Synthesizing data paths with arithmetic self-testAlbrecht P. Stroele. 45-48 [doi]
- Adaptive QOS control for MPEG-4 video communication over wireless channelsDapeng Oliver Wu, Yiwei Thomas Hou, Ya-Qin Zhang, Wenwu Zhu 0001, H. Jonathan Chao. 48-51 [doi]
- Solutions for image rejection CMOS LNAFrancesco Svelto, Giampiero Montagna, Stefano Deantoni, Giulio Braschi, Rinaldo Castello. 49-52 [doi]
- A new chaotic key-based design for image encryption and decryptionJui-Cheng, Jiun-In Guo. 49-52 [doi]
- Automatic distributed asynchronous control circuit generation from data flow graph for asynchronous high-level synthesisEuiseok Kim, Jeong-Gun Lee, Dong-Ik Lee. 49-52 [doi]
- Fast pole filtering for speaker recognitionRavi P. Ramachandran, Kevin R. Farrell. 49-52 [doi]
- An IF stage design for an ASK-based wireless telemetry systemRamesh Harjani, Oyvind Birkenes, Jonghae Kim. 52-55 [doi]
- The implementation of generalized minimum distance decoding for Reed Solomon codesA. Mahmudi, M. Benaissa, P. Sweeney. 53-56 [doi]
- Scheduling for low power under resource and latency constraintsSrinivas Katkoori, Ranga Vemuri. 53-56 [doi]
- A solution for minimizing phase noise in low-power resonator-based oscillatorsHongmo Wang. 53-56 [doi]
- Out-of-vocabulary word rejection algorithm in Korean variable vocabulary word recognitionKwang-Sik Moon, Yu-Jin Kim, Hoi-Rin Kim, Jae-Ho Chung. 53-56 [doi]
- A low-power low-voltage transceiver architecture suitable for wireless distributed sensors networkAlain-Serge Porret, Thierry Melly, Christian C. Enz, Eric A. Vittoz. 56-59 [doi]
- Roundoff-noise shaping in filter designG. A. Constantinides, P. Y. K. Cheung, W. Luk. 57-60 [doi]
- Exact and heuristic methods of assignment driven scheduling for data-path synthesis applicationsMineo Kaneko, Yoshitaka Nishio, Satoshi Tayu. 57-60 [doi]
- A novel tuning technique for distributed voltage controlled oscillatorsHui Wu, Ali Hajimiri. 57-60 [doi]
- A linear predictive method for highly compressed presentation of speech spectraSusanna Varho, Paavo Alku. 57-60 [doi]
- A low-IF, polyphase receiver for DECT [in BiCMOS]Brian J. Minnis, Paul A. Moore, Adrian W. Payne, Alan J. Davie, Nigel P. J. Greer. 60-63 [doi]
- Efficient ROM size reduction for distributed arithmeticJung Pil Choi, Seung Cheol Shin, Jin-Gyun Chung. 61-64 [doi]
- An efficient approach for in-place scheduling of path metric update in Viterbi decodersChien-Ming Wu, Ming-Der Shieh, Chien-Hsing Wu, Ming-Hwa Sheu. 61-64 [doi]
- Design and analysis of the on-chip ESD protection circuit with a constant input capacitance for high-precision analog applicationsMing-Dou Ker, Tung-Yang Chen, Chung-Yu Wu, Hun-Hsien Chang. 61-64 [doi]
- 2PL 3-2 compressors with less transistor countChua-Chin Wang, Po-Ming Lee, Chenn-Jung Hunng. 61-64 [doi]
- A novel design using translinear circuit for linear LINC transmittersBo Shi, Lars Sundström. 64-67 [doi]
- A Zener-diode-activated ESD protection circuit for sub-micron CMOS processesLouis Luh, John Choma Jr., Jeffrey Draper. 65-68 [doi]
- Design of multiplier free FIR filters using a LADF sigma-delta (Σ-Δ) modulatorSaman S. Abeysekera, Kabi Prakash Padhi. 65-68 [doi]
- New high performance sub-1 V circuit technique with reduced standby current and robust data holdingSeung-Moon Yoo, Sung-Mo Kang. 65-68 [doi]
- An adaptive genetic algorithm for VLSI floorplanning based on sequence-pairS. Nakaya, T. Koide, S. Wakabayashi. 65-68 [doi]
- A direct-sequence spread-spectrum super-regenerative receiverF. Xavier Moncunill-Geniz, O. Mas-Casals, Pere Palà-Schönwälder. 68-71 [doi]
- An analytical 3-D placement that reserves routing spaceT. Tanprasert. 69-72 [doi]
- A synthesis tool for the multiplierless realization of FIR-based multirate DSP systemsA. Yurdakul. 69-72 [doi]
- A successive reoptimization approach for the design of discrete coefficient perfect reconstruction lattice filter bankYong Ching Lim, Ya Jun Yu. 69-72 [doi]
- Mew diode string design with very low leakage current for using in power supply ESD clamp circuitsMing-Dou Ker, Wen-Yu Lo, Hun-Hsien Chang. 69-72 [doi]
- Built-in self testing of high-performance circuits using twisted-ring countersKrishnendu Chakrabarty, Shivakumar Swaminathan. 72-75 [doi]
- Perfect reconstruction modulated filter banks with sum of powers-of-two coefficientsS. C. Chan, W. Liu, K. L. Ho. 73-76 [doi]
- Complex approximation for FIR filters with equiripple magnitude and phase responseM. Ikehara, M. Okuda, K. Kiyose, S. Tikahashi. 73-76 [doi]
- A comparative study of low-noise logic cells for mixed mode integrated circuitsEdgar Albuquerque, Manuel Silva. 73-76 [doi]
- Minimum crosstalk channel routing with doglegKuo-Chih Hsu, Yu-Chung Lin, Po-Xun Chiu, Tsai-Ming Hsieh. 73-76 [doi]
- An improved cellular automata-based BIST architecture for sequential circuitsFulvio Corno, Matteo Sonza Reorda, Giovanni Squillero. 76-79 [doi]
- Comparison and analysis of phase noise in ring oscillatorsLiang Dai, Ramesh Harjani. 77-80 [doi]
- Joint optimization of multiple behavioral and implementation properties of digital IIR filter designsM. Valliappan, B. L. Evans, M. Gzara, M. D. Lutovac, D. V. Tosic. 77-80 [doi]
- Simulated annealing search through general structure floorplans using sequence-pairK. Kiyota, K. Fujiyoshi. 77-80 [doi]
- An algorithm for the design of multiplierless approximately linear-phase lattice-wave digital filtersJuha Yli-Kaakinen, Tapio Saramäki. 77-80 [doi]
- Built-in self-test and fault diagnosis for lookup table FPGAsShyue-Kung Lu, Jen-Sheng Shih, Cheng-Wen Wu. 80-83 [doi]
- A parallel algorithm for the diagonalization of symmetric matricesBruno Cernuschi-Frías, Sergio E. Lew, Hernán J. González, Jonás D. Pfefferman. 81-84 [doi]
- New classes of frequency-response masking FIR filtersH. Johansson. 81-84 [doi]
- Direction finding of wideband signals via spatial-temporal processing in wireless communicationsLiang Jin, Qinye Yin, Bo-Feng Jiang. 81-84 [doi]
- A note on the square summability of 2-D first-order digital filter transfer functionsV. Tavsanoglu. 81-84 [doi]
- Methods for on-chip embedding of path delay test vectorsDimitri Kagaris, Spyros Tragoudas. 84-87 [doi]
- Mathematical formulation of general M-D filtering by using a single building block and its novel word-parallel realizationH. Sefiri, M. Ahmadi. 85-88 [doi]
- A novel iterative design technique for linear-phase FIR half-band filtersMin-Chi Kao, Sau-Gee Chen. 85-88 [doi]
- Adaptive envelope-constrained filter designChien-Hsun Tseng, Kok Lay Teo, A. Cantoni. 85-88 [doi]
- A partial scan design by unifying structural analysis and testabilitiesJongwook Park, Sang-Hoon Shin, Sungju Park. 88-91 [doi]
- Asynchronous symbol timing recovery with adaptive interpolation filter for high data-rate digital mobile radioDer-Zheng Liu, Che-Ho Wei. 88 [doi]
- A fifth-order comb decimation filter for multi-standard transceiver applicationsYonghong Gao, Lihong Jia, Hannu Tenhunen. 89-92 [doi]
- Parallel DSP implementation of wavelet transform in image compressionKaisa Haapala, Pasi Kolinummi, Timo D. Hämäläinen, Jukka Saarinen. 89-92 [doi]
- Training techniques of space-time Viterbi equalizers for high speed mobile communicationChih-Sheng Chou, David W. Lin. 89-92 [doi]
- Minimax design of stable IIR digital filter using iterative linear programming methodChen-Cheng Tseng, Su-Ling Lee. 89-92 [doi]
- A design method of low delay FIR bandpass filtersAtsushi Ogata, Naoyuki Aikawa, Masamitsu Sato. 92-95 [doi]
- A short tutorial on network calculus. I. Fundamental bounds in communication networksJ. Y. Le Boudec, P. Thiran. 93-96 [doi]
- Parallel architectures for decision-directed RLS-equalizationChristian Drewes, Joachim S. Hammerschmidt, Andreas Hutter. 93-96 [doi]
- An adaptive algorithm for narrow-band interference rejection in DSSS systemsGuoquan Cai, Guowen Song, Dapeng Yu, Xingmei Zhong. 93-95 [doi]
- Analytical model for the mean weights of two adaptive interpolated-FIR filter structuresO. J. Tobias, R. Seara, C. A. F. da Rocha. 93-96 [doi]
- Design of FIR filters with exceptional passband and stopband smoothness using a new transitional windowR. M. Roark, M. A. Escabi. 96-99 [doi]
- Blind decision feedback equalization of time-varying channels with DPSK inputsEr-Wei Bai, Zhi Ding. 96-99 [doi]
- A composable service model for lossy network elementsR. L. Cruz, A. V. Santhanam. 97-100 [doi]
- A model for an ARMA process split in sub-bandsL. W. P. Biscainho, P. S. R. Diniz, P. A. A. Esquef. 97-100 [doi]
- Implementation of overlapped block filtering using scheduling by edge reversalCharles B. do Prado, Paulo S. R. Diniz, Felipe M. G. Franca. 97-100 [doi]
- Asymptotic behaviour of FIR filtersMiroslav Vlcek, Pavel Zahradnik, Rolf Unbehauen. 100-103 [doi]
- From Floquet exponents to control of chaos in piecewise linear systemsCarles Batlle, Enric Fossas, Gerard Olivar. 100-103 [doi]
- Real-time calculus for scheduling hard real-time systemsL. Thiele, S. Chakraborty, M. Naedele. 101-104 [doi]
- The continuing evolution of digital cameras and digital photography systemsK. Parulski, M. Rabbani. 101-104 [doi]
- A new combined architecture for CDMA location searchers and RAKE receiversN. R. Yousef, A. H. Sayed. 101-104 [doi]
- Mechanism for taming chaos by weak harmonic perturbationsNaohiko Inaba, Takahiro Tamua, Juichi Miyamichi. 104-107 [doi]
- Design and properties of polynomial-based fractional delay filtersJussi Vesma, Tapio Antero Saramäki. 104-107 [doi]
- An accurate statistical yield model for CMOS current-steering D/A convertersA. Van den Bosch, M. Steyaert, W. Sansen. 105-108 [doi]
- A multicarrier QAM modulator for WCDMA basestationM. Kosunen, J. Vankka, K. Halonen. 105-108 [doi]
- Colour calibration for colour reproductionPatrick Emmel, Roger D. Hersch. 105-108 [doi]
- Chaotic control of a DC-DC-converterAlexander L. Baranovski, Andreas Mögel, Wolfgang M. Schwarz, Oliver Woywode. 108-111 [doi]
- Design and properties of step-like weighting windowsVladimir V. Lukin, Tapio Antero Saramäki. 108-111 [doi]
- Space-time Rake receiver with optimal beamforming for the uplink of CDMA-based wireless systemsH. Boche, M. Schubert. 109-112 [doi]
- Interactive visual information retrievalRaimondo Schettini, Gianluigi Ciocca, Isabella Gagliardi. 109-112 [doi]
- A methodology for MOS transistor mismatch parameter extraction and mismatch simulationT. Serrano-Gotarredona, B. Linares-Barranco. 109-112 [doi]
- Software radio based re-configurable correlator/FIR filter for CDMA/TDMA receiverFu-Yen Kuo, Chung-Wei Ku. 112-115 [doi]
- ∞ solution to the inverse Frobenius-Perron problemE. M. Bollt. 112-115 [doi]
- Digital photography-How long will it last?Franziska S. Frey, Sabine Süsstrunk. 113-116 [doi]
- Fastest: a tool for a complete and efficient statistical evaluation of analog circuitsR. Lopez-Ahumada, R. Rodriguez-Macias. 113-116 [doi]
- A compact digital frequency synthesizer for GSM IF up/downconverterR. Uusikartano, J. Niittylahti. 113-116 [doi]
- Chaotifing a continuous-time system by time-delay feedbackXiao Fan Wang, Guanrong Chen, Kim-Fung Man. 116-119 [doi]
- Minimizing the memory requirement for continuous flow FFT implementation: continuous flow mixed mode FFT (CFMM-FFT)Ridha Radhouane, Peter Liu, Cory Modlin. 116-119 [doi]
- Averaging method via all numerical computation applied to weakly-nonlinear coupled oscillator systemsAkio Hasegawa, Tetsuro Endo. 117-120 [doi]
- Application of a statistical design methodology to low voltage analog MOS integrated circuitsT. B. Tarim, M. Ismail. 117-120 [doi]
- Code synchronization and path delay estimation for a CDMA system using long code maskingA. S. Madhukumar, F. Chin. 117-120 [doi]
- Review of CMOS implementations of the CNN universal machine-type visual microprocessorsTamás Roska, Ángel Rodríguez-Vázquez. 120-123 [doi]
- A system-theoretic foundation for blind equalization of an FIR MIMO channel systemYujiro Inouye, Ruey-Wen Liu. 120-123 [doi]
- Two-rate computation of the periodic steady-state in RF-IC circuitsFlorin Constantinescu, Miruna Nitescu. 121-124 [doi]
- Frequency compensation of closed-loop feedback amplifier systemsE. Hennig, R. Sommer. 121-124 [doi]
- Mismatch in photodiode and phototransistor arraysZ. K. Kalayjian, A. G. Andreou. 121-124 [doi]
- An analogic CNN engine board with the 64×64 analog I/O CNN-UM chipIstván Szatmári, Ákos Zarándy, Péter Földesy, L. Kék. 124-127 [doi]
- A Dolph-Chebyshev approach to the synthesis of array patterns for uniform circular arraysBuon Kiong Lau, Yee Hong Leung. 124-127 [doi]
- Large time-step integration method for modeling of oscillating circuitsVello Kukk. 125-128 [doi]
- Image intensity conversion via cellular neural networksT. Nakaguchi, Y. Tanji, M. Tanaka. 125-128 [doi]
- Interconnect layout macromodelling and simulation in high speed circuitsN. Kaufmann, A. Konczykowska. 125-128 [doi]
- Optimality of principal component filter banks for discrete multitone communication systemsPalghat P. Vaidyanathan, Yuan-Pei Lin, Sony Akkarakaran, See-May Phoong. 128-131 [doi]
- A smart vision system-on-a-chip design based on programmable neural processor integrated with active pixel sensorWai-Chi Fang. 128-131 [doi]
- Symbolic techniques applied to switched-current ADCs synthesisN. Horta, M. Fino, J. Goes. 129-132 [doi]
- Projective noise reduction with dynamic neighborhood selectionAlbert Kern, Daniel A. Blank, Ruedi Stoop. 129-132 [doi]
- Image processing using hysteretic cellular neural networksRadu P. Matei. 129-132 [doi]
- Multidimensional RD-CNNs: a circuit realizationPaolo Arena, Luigi Fortuna, Francesco Giuffre. 132-135 [doi]
- Thermal noise behavior of a nonlinear bridge circuitGeoffrey J. Coram, Brian D. O. Anderson, John L. Wyatt Jr.. 132-135 [doi]
- An error-controlled methodology for approximate hierarchical symbolic analysisO. Guerra, J. D. Rodriguez-Garcia, E. Roca, F. V. Fernandez, A. Rodnguez-Vazquez. 133-136 [doi]
- Design of multilayer discrete time cellular neural networks for image processing tasks based on genetic algorithmsF. Lopez, D. L. Vilarino, D. Cabello. 133-136 [doi]
- Strongly consistent recursive regression estimation under depended observationsKirill R. Chernyshov. 133-136 [doi]
- Poisson and Gaussian models for noisy devicesGeoffrey J. Coram, John L. Wyatt Jr.. 136-139 [doi]
- An optical CNN implementation with stored programmabilitySzabolcs Tõkés, László Orzó, Csaba Rekeczky, Tamás Roska, Ákos Zarándy. 136-139 [doi]
- The toroidal neural networksM. Coli, P. Palazzari, R. Rughi. 137-140 [doi]
- Parallel symbolic sensitivity analysis of large-scale linear analogue circuitsF. Eberhardt, W. Tenten, P. R. Shepherd. 137-140 [doi]
- Boundary cells in cellular circuits for the minimisation of continuous functionalsFederico Bizzarri, Marco Storace, Mauro Parodi. 137-140 [doi]
- Complete nonlinear model for the MRC (MOS resistive circuit)Eva Vidal, Sonia Porta, Herminio Martínez, Eduard Alarcón, Alberto Poveda. 140-143 [doi]
- m-C filtersJader A. De Lima, Carlos Dualibe. 140-143 [doi]
- On robustness of complete stability for a class of cellular neural networksM. Di Marco, A. Tesi, M. Forti. 141-144 [doi]
- Extending the CNN paradigm to approximate chaotic systems with multivariable nonlinearitiesPaolo Arena, Luigi Fortuna, Alessandro Rizzo, Maria G. Xibilia. 141-144 [doi]
- Analysis of quantization effects in a digital hardware implementation of a fuzzy ART neural network algorithmM.-A. Cantin, Y. Blaguiere, Y. Sarvaria, P. Lavoie, E. Granger. 141-144 [doi]
- A high-Q and wide-dynamic-range CMOS IF bandpass filter for monolithic receiversY. W. Choi, Howard C. Luong. 144-147 [doi]
- A universal analytic charge injection modelYongwang Ding, Ramesh Harjani. 144-147 [doi]
- Pulse mode multilayer neural network based on floating point number representationH. Hikawa. 145-148 [doi]
- A comparative study of information capacity for biophysical and silicon photoreceptorsPamela Abshire, Andreas G. Andrew. 145-148 [doi]
- A low-voltage fully-differential current-mode analog CMOS integrator using floating-gate MOSFETsTakahiro Inoue, Hideo Nakane, Yuuji Fukuju. 145-148 [doi]
- A search for a parsimonious basis sequence approximation of time-varying, nonlinear systemsMatthew Green, Abdelhak M. Zoubir. 148-151 [doi]
- A 1.5-V supply, video range frequency, Gm-C filterArmin Tajalli, Mojtaba Atarodi, Akbar Adibi. 148-151 [doi]
- An algorithm for multi-layer channel routing problem using chaotic neural networksMasaya Ohta. 149-152 [doi]
- On the composite squared-error algorithm for neural networksS. L. Netto, M. L. R. de Campos. 149-152 [doi]
- A novel CMOS fully differential inductorless RF bandpass filterYue Wu, M. Ismail, H. Olsson. 149-152 [doi]
- Prediction of slant path rain attenuation based on artificial neural networkHongwei Yang, Chen He, Hong-Wen Zhu, Wentao Song. 152-155 [doi]
- A low-power high-linearity CMOS baseband filter for wideband CDMA applicationsChunlei Shi, Yue Wu, Hassan O. Elwan, Mohammed Ismail. 152-155 [doi]
- Focal-plane on-line nonuniformity correction using floating-gate adaptationMarc Cohen, Gert Cauwenberghs. 153-156 [doi]
- m-C floating-gate MOS integratorEsther O. Rodríguez, Alberto Yufera, Adoración Rueda. 153-156 [doi]
- Hysteresis neural networks for solving traveling salesperson problemsT. Nakaguchi, K. Jin'no, M. Tanaka. 153-156 [doi]
- Fast simulation techniques for phase noise analysis of oscillatorsSalvatore Levantino, Alfio Zanchi, Andrea Bonfanti, Carlo Samori. 156-159 [doi]
- Fast modular neural nets for face detectionHazem M. El-Bakry, M. A. Abo-Elsoud, Mohamed S. Kamel. 156-159 [doi]
- Current mode elementary motion perception neural cellG. V. Shutinoski, T. A. Dzhekov, V. B. Litovski. 157-160 [doi]
- Cross coupled transconductance cell with improved linearity rangeBrent J. Maundy, Ivars G. Finvers, Peter B. Aronhime. 157-160 [doi]
- Design of a frequency tuning circuit used in IFLF filtersDavid H. Chiang, Rolf Schaumann. 157-160 [doi]
- Neural identification of failed fuel rods in nuclear reactors [PWRs]José Manoel de Seixas, William Soares-Filho, Marcelo C. Bossan, Zieli Dutra Thome, W. C. A. Pereira. 160-163 [doi]
- Performance limits of planar and multi-layer integrated inductorsYorgos Koutsoyannopoulos, Yannis Papananos, Sotiris Bantas, Carlo Alemanni. 160-163 [doi]
- A 1.5 V linear transconductor with wide bandwidth and wide input and output signal swingsJaime Ramírez-Angulo, Ramón G. Carvajal, Antonio Torralba, Juana M. Martínez-Heredia. 161-164 [doi]
- Dynamic analog resonator-based adaptive filtersTertulien Ndjountche, Rolf Unbehauen, Fa-Long Luo. 161-164 [doi]
- Leapfrog-type filters that retain the topology of the prototype ladder filtersNikos Fragoulis, Ioannis Haritantis. 161-164 [doi]
- Metal-over-gate MOSFET modeling for radio frequency IC applicationsL. A. MacEachern, Tajinder Manku. 164-167 [doi]
- An adaptive neurofuzzy network for identification of the complicated nonlinear systemYing Li, Bendu Bai, Licheng Jiao. 164-167 [doi]
- Finding all solutions of piecewise-linear resistive circuits using the dual simplex methodK. Yamamura, S. Tanaka. 165-168 [doi]
- Current tuneable CMOS transconductor for filtering applicationsJean-Charles Voghell, Mohamad Sawan. 165-168 [doi]
- A CMOS low sensitivity switched-capacitor video filterJorge M. Canive, Jose Gabriel R. C. Gomes, Antonio Petraglia. 165-168 [doi]
- On equalization with maximum covariance initialized cascade-correlation learningArto Kantsila, Mikko Lehtokangas, Jukka Saarinen. 168-171 [doi]
- Computational method of stability investigation for large analog circuitsMark M. Gourary, S. G. Rusakov, Sergey L. Ulyanov, Michael M. Zharov, Steve J. Hamm, Brian J. Mulvaney. 168-171 [doi]
- High-linear class AB transconductor for high-frequency applicationsGianluca Giustolisi, Giuseppe Palmisano, Salvatore Pennisi. 169-172 [doi]
- Always convergent piecewise-linear DC analysis by an appropriate choice of initial conditionsJ. Roos, V. Karanko. 169-172 [doi]
- Low-sensitivity direct-form IIR SC filters with improved phase linearityJacqueline S. Pereira, Antonio Petraglia. 169-172 [doi]
- Stochastic cycle period analysis in timed circuitsEric G. Mercer, Chris J. Myers. 172-175 [doi]
- VLSI CMOS low-voltage log companding filtersFrancisco Serra-Graells. 172-175 [doi]
- A 1 V 1.2 μW 4th order bandpass switched-opamp SC filter for a cardiac pacer sensing stageAndrea Baschirotto, Domenico Bijno, Rinaldo Castello, Federico Montecchi. 173-176 [doi]
- On consistent initial conditions for circuit DAEs with higher indexH. G. Brachtendorf, R. Laur. 173-176 [doi]
- Two new VHF tunable CMOS low-voltage linear transconductors and their application to HF GM-C filter designFernando Muñoz, Antonio Torralba, Ramón G. Carvajal, Jaime Ramírez-Angulo. 173-176 [doi]
- C-log domain filtersDouglas Frey. 176-179 [doi]
- A coprocessor architecture implementing the MPEG-4 visual core profile for mobile multimedia applicationsAndreas Hutter, G. Giebel, Walter Stechele. 176-179 [doi]
- Convergent regions of Newton homotopy methods for nonlinear systems: theory and computational applicationsJaewook Lee, Hsiao-Dong Chiang. 177-180 [doi]
- Single-capacitor-single-contact active pixel sensorRalph Etienne-Cummings. 177-180 [doi]
- A linear-phase halfband SC video interpolation filter with coefficient-sharing and spread-reductionSeng-Pan U, Rui P. Martins, Jose E. Franca. 177-180 [doi]
- A dynamic-translinear fully-integrated highly-directional hearing aid adapterDaniel Rocha, Wouter A. Serdijn. 180-183 [doi]
- Co-processor architecture for MPEG-4 main profile visual compositingMladen Berekovic, Peter Pirsch, Thorsten Selinger, Kai-Immo Wels, Carolina Miro, Anne Lafage, Christoph Heer, Giovanni Ghigo. 180-183 [doi]
- Using continuation methods to improve convergence of circuits with high impedance nodesL. B. Goldgeisser, Michael M. Green. 181-184 [doi]
- A heuristic technique for system-level architecture generation from signal-flow graph representations of analog systemsA. Doboli, N. Dhanwada, R. Vemuri. 181-184 [doi]
- A MEMS micromagnetic actuator for use in a bionic interfaceSazzadur Chowdhury, Graham A. Jullien, Majid Ahmadi, William C. Miller. 181-184 [doi]
- A low-voltage √x floating-gate MOS integratorEsther O. Rodríguez, Alberto Yufera, Adoración Rueda. 184-187 [doi]
- MPEG-4 video bitstream structure analysis and its parsing architecture designHao-Chieh Chang, Yung-Chi Chang, Yuan-Bin Tsai, Chih-Peng Fan, Liang-Gee Chen. 184-187 [doi]
- Interactive SC multirate compiler applied to multistage decimator designCheong Ngai, R. P. Martins. 185-188 [doi]
- Sizing of MOS transistors for amplifier designRodrigo L. Oliveira Pinto, Marcio C. Schneider, Carlos G. Montoro. 185-188 [doi]
- Optimization of backside micromachined CMOS inductors for RF applicationsMehmet Ozgur, Mona E. Zaghloul, Michael Gaitan. 185-188 [doi]
- On the exact realisation of LC ladder finite transmission zeros in log-domain: a theoretical studyEmmanuel M. Drakakis, Alison J. Payne. 188-191 [doi]
- A scalable MPEG-4 video codec architecture for IMT-2000 multimedia applicationsMasafumi Takahashi, Tsuyoshi Nishikawa, Hideho Arakida, Noriaki Machida, Hideaki Yamamoto, Toshihide Fujiyoshi, Yoko Matsumoto, Osamu Yamagishi, Tatsuo Samata, Atsushi Asano, Toshihiro Terazawa, Kenji Ohmori, Junya Shirakura, Yoshinori Watanabe, Hiroki Nakamura, Shigenobu Minami, Tohru Furuyama. 188-191 [doi]
- An intelligent framework for designing analog circuits based on hybrid reasoningF. Razaghian, S. Sadughi, K. Badie. 189-192 [doi]
- Integrated RF sensors for electronic warfare applicationsGeoffrey L. Barrows, Brian Krantz. 189-192 [doi]
- Different operational transconductance amplifier topologies for obtaining very small transconductancesAnand Veeravalli, Edgar Sanchez-Sinencio, Jose Silva-Martinez. 189-192 [doi]
- The M-PIRE MPEG-4 codec DSP and its macroblock engineHans-Joachim Stolberg, Mladen Berekovic, Peter Pirsch, Holger Runge, Henning Möller, Johannes Kneip. 192-195 [doi]
- On a new type of extended Petri nets and its applicationsKohkichi Tsuji. 192-195 [doi]
- A 5 GHz fully integrated VCO in a SiGe bipolar technologyAristides Kyranas, Yannis Papananos. 193-196 [doi]
- Parasitic and mismatch modeling for optimal stack generation [in CMOS]Xuan Zeng, Mingyuan Li, Wenqing Zhao, PuShan Tang, Dian Zhou. 193-196 [doi]
- An investigation on the parameters affecting total harmonic distortion in class D amplifiersMeng Tong Tan, Hock Chuan Chua, Bah-Hwee Gwee, Joseph S. Chang. 193-196 [doi]
- Time-varying linear systems and input-output stabilityIrwin W. Sandberg. 196-199 [doi]
- A linear time algorithm for computing hexagonal Steiner minimum trees for terminals on the boundary of a regular hexagonGuo-Hui Lin, Guoliang Xue. 196-199 [doi]
- The effects of source impedance on the linearity of BTJ common-emitter amplifiersJoel H. Vuolevi, Timo Rahkonen. 197-200 [doi]
- A parameterised block-level layout generation system for CMOS analog ICsP. B. Wu, R. J. Mack, R. E. Massara. 197-200 [doi]
- Voltage reference using mutual compensation of mobility and threshold voltage temperature effectsIgor M. Filanovsky. 197-200 [doi]
- Stability regions of non-hyperbolic dynamical systems: theory and optimal estimationJaewook Lee, Hsiao-Dong Chiang. 200-203 [doi]
- Dropping method for rectangle packing problemToshihiko Takahashi. 200-203 [doi]
- HILN-the MPEG-4 parametric audio coding toolsH. Purnhagen, N. Meine. 201-204 [doi]
- Improved design criteria of gain-boosted CMOS OTA with high speed optimizationsMrinal Das, Jim Hellums. 201-204 [doi]
- V-I converters with transconductance proportional to bias current in any technologyVladimir I. Prodanov. 201-204 [doi]
- Minty's coloured branch theorem versus Tellegen's theoremJaroslaw K. Cel. 204-207 [doi]
- Proving asymptotic stability of equilibrium points of MOS transistor circuitsMichal Tadeusiewicz. 204-207 [doi]
- Optimizing bandwidth power efficiency of a CMOS transconductorNing Guo, Rabin Raut. 205-208 [doi]
- A semi-formal methodology for the functional validation of an industrial DSP systemL. Arditi, G. Clave. 205-208 [doi]
- Using MPEG-4 audio for DRM digital narrowband broadcastingM. Dietz, T. Mlasko. 205-208 [doi]
- Stability analysis of nonlinear systems via piecewise linear Lyapunov functionsYuzo Ohta, Kenichiro Yamamoto. 208-211 [doi]
- Back-tracing and event-driven techniques in high-level simulation with decision diagramsRaimund Ubar, Jaan Raik, Adam Morawiec. 208-211 [doi]
- An accurate self-bias threshold voltage extractor using differential difference feedback amplifierUgur Çilingiroglu, Siew Kuok Hoon. 209-212 [doi]
- Multi-layer scalable LPC audio formatA. Jbira, A. Kondoz. 209-212 [doi]
- The CBP parameter-a useful annotation to aid block-diagram compilers for DSPS. S. Bhattacharyya, P. K. Murthy. 209-212 [doi]
- Parametric model for 2D real scattering Schur polynomialsAnton Kummert. 212-215 [doi]
- Object-based multiresolution watermarking of images and videoXiaoyun Wu, Wenwu Zhu 0001, Zixiang Xiong, Ya-Qin Zhang. 212-215 [doi]
- A design of error robust scalable coder based on MPEG-4/AudioT. Moriya, T. Mori, N. Iwakami, Akio Jin. 213-216 [doi]
- On synthetic benchmark generation methodsP. Verplaetse, J. Van Campenhout, D. Stroobandt. 213-216 [doi]
- Power saving technique for MOS differential amplifiersKyoichi Takenaka, Kazuyuki Wada, Shigetaka Takagi, Nobuo Fujii. 213-216 [doi]
- Image authentication using chaotic mixing systemsAnastasios Tefas, Ioannis Pitas. 216-219 [doi]
- Sensorless variable structure speed control of an induction motorC. Busada, G. Bortolotto. 216-219 [doi]
- A novel approach for functional coverage measurement in HDLChien-Nan Jimmy Liu, Chen-Yi Chang, Jing-Yang Jou, Ming-Chih Lai, Hsing-Ming Juan. 217-220 [doi]
- A system for chaos generation and its implementation in monolithic formAhmed S. Elwakil, Khaled N. Salama, Michael Peter Kennedy. 217-220 [doi]
- Applications of binaural processing to surround sound reproduction in large spacesC. Landone, M. Sandler. 217-220 [doi]
- Wavelet-based self-similar watermarking for still imagesSofia Tsekeridou, Ioannis Pitas. 220-223 [doi]
- An efficient method for multiple measurement placement in power networksBei Gou, Ali Abur. 220-223 [doi]
- Dynamic properties of a multiway arbiterAnthony C. Davies. 221-224 [doi]
- The Jacobian elliptic Chebyshev rational maps can generate sequences of i.i.d. random variablesTohru Kohda, Hiroshi Fujisaki. 221-224 [doi]
- A CAD environment for fuzzy systems HW/SW mappingM. Re, M. Salmeri, G. C. Cardarilli. 221-224 [doi]
- Image watermarking using spread spectrum technique in log-2-spatio domainPeter Hon-Wah Wong, Oscar C. Au, Justy W. C. Wong. 224-227 [doi]
- Multiple power flow solutions of small integrated AC/DC power systemsY. K. Fan, Dagmar Niebur, Chika O. Nwankpa, Harry Kwatny, Robert Fischl. 224-227 [doi]
- On distributions of correlation values of spreading sequences based on Markov information sourcesTohru Kohda, Hiroshi Fujisaki, Shigeru Ideue. 225-228 [doi]
- Global nonlinear dynamics of second-order DPLLAlexander Podgorny, Orla C. Feely. 225-228 [doi]
- Real time modal analysis of power system oscillationsT. Hiyama, N. Suzuki. 225-228 [doi]
- Robust image watermarking on the DCT domainWen-Nung Lie, Guo-Shiang Lin, Chih-Liang Wu, Ta-Chun Wang. 228-231 [doi]
- Hybrid systems view of power system modellingIan A. Hiskens, M. A. Pai. 228-231 [doi]
- Statistical analysis of chaotic Markov systems with quantised outputMarco Götz, Wolfgang Schwarz. 229-232 [doi]
- Enhanced visualization of power system stability limits imposed by singularity induced bifurcationsS. Ayasun, C. Nwankpa, H. G. Kwatny. 229-232 [doi]
- Experimental verification of enhanced electromagnetic compatibility in chaotic FM clock signalsGianluca Setti, Michele Balestra, Riccardo Rovatti. 229-232 [doi]
- +Irwin W. Sandberg. 232-235 [doi]
- A rule-based robotic control approach to melt-blowing for shaped fabric structuresR. Fater, E. Grant, T. Ghosh, A. Seyam, G. Lee. 232-235 [doi]
- Determination of installation location of SMES for power system stabilizationY. Ohsawa, Y. Maruoka, H. Takeno, H. Sugihara. 233-236 [doi]
- Design of a chaotic generator using two CNN cells having non-integer orderRiccardo Caponetto, Luigi Fortuna, Mario Lavorgna, Domenico Porto. 233-236 [doi]
- On complexity of bifurcations for some classes of systems with simple dynamicsMMikhail V. Shashkov. 233-234 [doi]
- Analysis and design of soft-switching power factor correction converterJ. Abu-Qahouq, H. Wei, W. Gu, I. Batarseh. 235-238 [doi]
- Quantum communication and entanglementHarald Weinfurter, Dirk Bouwmeester, M. Daniell, Thomas Jennewein, Jian-Wei Pan, Christoph Simon, Gregor Weihs, Anton Zeilinger. 236-239 [doi]
- New results for estimation of Hausdorff dimensionAlexander Yu. Pogromsky, Hendrik Nijmeijer. 236-239 [doi]
- A double-talk resistant echo cancellation based on iterative maximal-length correlationJang-Chyuan Jenq, Shih Fu Hsieh. 237-240 [doi]
- Chaotic behavior of the Newton-Raphson method with the optimal multiplier for ill-conditioned power systemsH. Mori. 237-240 [doi]
- Current controlled current source model for a PWM dc-dc boost converters operated in discontinuous current modeA. Reatti, M. K. Kazimierczuk. 239-242 [doi]
- On explicit solutions of nonlinear dynamic systemsDomine M. W. Leenaerts. 240-243 [doi]
- Co-optimization of FFT and FIR in a delayless acoustic echo canceller implementationAnders Berkeman, Viktor Öwall. 241-244 [doi]
- Nano-electronic circuits as quantum bitsYuriy Makhlin, Gerd Schön, Alexander Shnirman. 241-244 [doi]
- Complete controllability of power system dynamicsM. Hong, Chen-Ching Liu. 241-244 [doi]
- Graphical DC analysis of three-phase PWM converters using a complex transformationXu Huang, Jun Chen, K. D. T. Ngo. 243-246 [doi]
- Compactified dynamics and peakingEfthimios Kappos. 244-247 [doi]
- Ultralow-voltage floating-gate analog multiplier with tunable linearityYngvar Berg, Øivind Naess, Mats Høvin. 245-248 [doi]
- Comparison of two open-loop adaptive speech enhancement algorithms for digital hearing aidsNeeraj Magotra, Juan G. Vargas-Rubio. 245-248 [doi]
- Possible definition of quantum bits in coupled quantum dotsRobert H. Blick, Heribert Lorenz. 245-248 [doi]
- On the use of the describing function in fuzzy controller design for switching dc-dc regulatorsS. Gomariz, F. Guinjoan, E. Vidal-Idiarte, L. Martinez-Salamero, A. Poveda. 247-250 [doi]
- On basic piecewise-constant systemsTadashi Tsubone, Toshimichi Saito. 248-251 [doi]
- A 3.3 V, 1.6 GHz, low-jitter, self-correcting DLL based clock synthesizer in 0.5 μm CMOSDavid J. Foley, Michael P. Flynn. 249-252 [doi]
- A true low-voltage CMOS class AB current mirrorGiuseppe Palmisano, Salvatore Pennisi. 249-252 [doi]
- Identification and elimination of second-order nonlinear distortion of loudspeaker systems using Volterra filterM. Tsujikawa, T. Shiozaki, Yoshinobu Kajikawa, Yasuo Nomura. 249-252 [doi]
- Analysis of hard synchronous commutations in switching convertersN. Femia, M. Vitelli, G. Spagnuolo, D. Cerbasi. 251-254 [doi]
- Class E isolated DC-DC converter using PWM synchronous rectifierItsda Boonyaroonate, Takayuki Fukami, Shinsaku Mori. 252-255 [doi]
- An efficient scheme based on EMPDC graph model in synthesizing fault tolerant FIR filterChoon Sik Park, Mineo Kaneko. 253-256 [doi]
- A folded floating-gate differential pair for low-voltage applicationsBradley A. Minch. 253-256 [doi]
- A new fully integrated CMOS phase-locked loop with low jitter and fast lock timeYoucef Fouzar, Mohamad Sawan, Yvon Savaria. 253-256 [doi]
- Learning with prior informationM. C. Campi, M. Vidyasagar. 255-258 [doi]
- A novel voltage-control scheme for low-voltage DC-DC converters with fast transient recoveryHoi Lee, Philip K. T. Mok, Wing-Hung Ki. 256-259 [doi]
- Generation of accurate on-chip time-constants using a monolithic CMOS PLL with hybrid analog and digital controlAngus McLaren, Kenneth W. Martin. 257-260 [doi]
- A low-jitter and low-power phase-locked loop designKuo-Hsing Chen, Huan-Sen Liao, Lin-Jiunn Tzou. 257-260 [doi]
- High-linearity low-voltage self-cascode class AB CMOS current output stageAli Zeki, Hakan Kuntman. 257-260 [doi]
- Perspectives from the informational complexity of learningP. Niyogi. 259-262 [doi]
- Ring type switched-capacitor transformer and its applicationsNoriaki Hara, Ichirou Oota, Fumio Ueno. 260-263 [doi]
- A 1.2 V bandgap reference based on transimpedance amplifierYueming Jiang, Edward K. F. Lee. 261-264 [doi]
- A jitter suppression technique for a 2.48832 Gb/s clock and data recovery circuitKiyoshi Ishii, Keiji Kishine, Haruhiko Ichino. 261-264 [doi]
- A clock extraction circuit using passive components-free filter in standard digital processJae J. Chang, Martin Anthony Brooke. 261-264 [doi]
- Monte Carlo analysis of resistive networks without a priori probability distributionsB. R. Barmish, H. Kettani. 263-266 [doi]
- A 50-100 MHz 5 V to -5 V, 1 W Cuk converter using gallium arsenide power switchesMamadou Gaye, Sami Ajram, Jean-Yves Lebas, Romain Kozlowski, Georges Salmer. 264-267 [doi]
- A compact adaptive equalizer IC for HIPERLAN systemJinn-Shyan Wang, Pei-Lung Lin, Wern-Ho Sheen, Duo Sheng, Yu-Ming Huang. 265-268 [doi]
- A DLL based 10-320 MHz clock synchronizerSung-Sik Hwang, Ki-Mo Joo, Ho-Jin Park, Jae-Whui Kim, Philip Chung. 265-268 [doi]
- A power reduction method for off-chip interconnectsF. Devisch, J. Stiens, R. Vounckx, M. Kuijk. 265-268 [doi]
- Maximum entropy and maximum likelihood criteria for feature selection from multivariate dataS. Basu, C. A. Micchelli, P. Olsen. 267-270 [doi]
- Analysis of soft synchronous commutations in switching convertersNicola Femia, Massimo Vitelli, Domenico Cerbasi, Giovanni Spagnuolo. 268-271 [doi]
- Parameter estimation for image/video transcodingZihua Guo, Oscar C. Au, Khaled Ben Letaief. 269-272 [doi]
- Transient analysis of a CMOS inverter driving resistive interconnectK. T. Tang, E. G. Friedman. 269-272 [doi]
- Phenomenological model of false lock in the sampling phase-locked loopBela A. Frigyik, Géza Kolumbán. 269-272 [doi]
- Direct gradient-based reinforcement learningJ. Baxter, P. L. Bartlett. 271-274 [doi]
- Energy-efficiency bounds for noise-tolerant dynamic circuitsN. R. Shanbhag, L. Wang. 273-276 [doi]
- Frequency domain analysis of double sampling phase-locked loopGéza Kolumbán, Bela A. Frigyik, Michael Peter Kennedy. 273-276 [doi]
- Scalable MPEG video coding with improved B-frame predictionMarek Domanski, Adam Luczak, Slawomir Mackowiak. 273-276 [doi]
- Specification and implementation of a cryptocoprocessor for ISDNWalter Sachs, Stefan Wolter. 275-278 [doi]
- Controller redesign based clock and register power minimizationS. M. Rao, S. K. Nandy. 275-278 [doi]
- Physical design to improve the noise immunity of digital circuits in a mixed-signal smart-power systemR. M. Secareanu, S. Warner, S. Seabridge, C. Burke, T. E. Watrobski, C. Morton, W. Staub, T. Teilier, E. G. Friendman. 277-280 [doi]
- Multi-view image compression and intermediate view synthesis for stereoscopic applicationsGan-Cheih Chang, Weo-Nung Lie. 277-280 [doi]
- A low-power silicon-on-insulator PWM discriminator for biomedical applicationsJader A. De Lima, Sidnei F. Silva, Adriano S. Cordeiro, Alexandro C. Araujo, Michel Verleysen. 277-280 [doi]
- ILP-based scheme for low power scheduling and resource bindingWen-Tsong Shiue, C. Chakrabarti. 279-282 [doi]
- 2N) multiplier for elliptic curves cryptosystemSarwono Sutikno, Andy Surya. 279-282 [doi]
- An implantable CMOS signal conditioning system for recording nerve signals with cuff electrodesKonstantinos Papathanasiou, Torsten Lehmann. 281-284 [doi]
- Video special effects editing in MPEG-2 compressed videoW. A. C. Fernando, Cedric Nishan Canagarajah, David R. Bull. 281-284 [doi]
- Design of an inter-plane circuit for clocked PLAsChua-Chin Wang, Yu-Tsun Chien, Ying-Pei Chen. 281-284 [doi]
- A low power VLSI architecture of SOVA-based turbo-code decoder using scarce state transition schemeYan Wang, Chi-Ying Tsui, Roger S. Cheng. 283-286 [doi]
- Delay and power expressions characterizing a CMOS inverter driving an RLC loadK. T. Tang, E. G. Friedman. 283-286 [doi]
- A preamplifier IC design for photonic linksAndré Boyogueno, Bozena Kaminska, Mustapha Slamani. 285-288 [doi]
- Space-time diversity for multimedia delivery over wireless channelsH. Zheng, K. J. R. Liu. 285-288 [doi]
- Limited color display for compressed videoChing-Min Cheng, Soo-Chang Pei, Lung-Feng Ho. 285-288 [doi]
- Efficient approaches to improving performance of VLSI SOVA-based turbo decodersZhongfeng Wang, Hiroshi Suzuki, Keshab K. Parhi. 287-290 [doi]
- On the utilization of strength-reduced architectures for adaptive equalizersM. M. Aly, K. Sharaf, H. F. Ragai. 287-290 [doi]
- Efficient data output from the inner of large size cellular arrayFabrice Paillet, D. Mercier, Thierry M. Bernard. 289-292 [doi]
- Distributed application service for Internet information portalChung-Sheng Li, J. R. Smith, R. Mohan, Yuan-Chi Chang, B. Topol, J. Hind, Yongcheng Li. 289-292 [doi]
- Analog VLSI design of supervised-learnable neural cell using switched-current techniqueRany A. Tawfik, Aly H. Fahmy, Aly E. Salama. 289-292 [doi]
- A dataflow-oriented VLSI architecture for a modified SPIHT algorithm using depth-first search bit stream processingLi-minn Ang, Hon Nin Cheung, Kamran Eshraghian. 291-294 [doi]
- Automated transistor sizing algorithm for minimizing spurious switching activities in CMOS circuitsA. Wroblewski, C. V. Schimpfle, J. A. Nossek. 291-294 [doi]
- A high fill-factor native logarithmic pixel: Simulation, design and layout optimizationAmine Bermak, Abdesselam Bouzerdoum, Kamran Eshraghian. 293-296 [doi]
- Variable resolution CMOS current mode active pixel sensorJonathan Coulombe, Mohamad Sawan, Chunyan Wang. 293-296 [doi]
- Modeling of dynamic video trafficD. Turaga, T. Chen. 293-296 [doi]
- An analysis on the effect of image activity on lossy coding performanceS. Saha, R. Vemuri. 295-298 [doi]
- An overview of the encoding tools in the MPEG-4 reference softwareTihao Chiang, Hung-Ju Lee, Huifang Sun. 295-298 [doi]
- A gray-scale Inverse Hough Transform algorithmAnastasios L. Kesidis, Nikolaos Papamarkos. 297-300 [doi]
- Design of networked visual monitoring systemsChin-Hwa Kuo, Tay-Shen Wang. 297-300 [doi]
- Edge orientation enhancement using optoelectronic VLSI and asynchronous pulse codingAlyssa B. Apsel, Zaven K. Kalayjian, Andreas G. Andreou, George Simonis, Wayne Chang, Madhumita Datta, Bikash Koley. 297-300 [doi]
- Fine granularity scalability in MPEG-4 for streaming videoWeiping Li, Fan Ling, Xuemin Chen. 299-302 [doi]
- A simple technique for near-lossless coding of color imagesM. Domanski, K. Rakowski. 299-302 [doi]
- Pulse modulation circuit architecture and its application to functional image sensorsAtsushi Iwata, Makoto Nagata, Noriaki Takeda, Mitsuru Homma, Takashi Morie. 301-304 [doi]
- Multithresholding of mixed-type documentsNikolaos Papamarkos, Charalambos Strouthopoulos. 301-304 [doi]
- Efficient video summarization based on a fuzzy video content representationA. D. Doulamis, N. D. Doulamis, S. D. Kollias. 301-304 [doi]
- Generic, scalable and efficient shape coding for visual texture objects in MPEG-4Shipeng Li, Iraj Sodagar. 303-306 [doi]
- Unified lossless and near-lossless color image coding based on adaptive quantizationT. Nakachi, T. Fujii. 303-306 [doi]
- Synchrony detection for spike-mediated computationCharles S. Wilson, Paul E. Hasler, Stephen P. DeWeerth. 305-308 [doi]
- Block-matching evaluation in digital architectures for motion estimationL. Raffo, M. P. Zizola. 305-308 [doi]
- Face recognitionVinayadatt V. Kohir, Uday B. Desai. 305-308 [doi]
- The communication of virtual human faces using MPEG-4 toolsEric Petajan. 307-310 [doi]
- Augmenting vector quantization with interval arithmetics for image-coding applicationsS. Ridella, S. Rovetta, R. Zunino. 307-310 [doi]
- A center-biased hybrid search method using plus search pattern for block motion estimationSung-Chul Shin, Hyunki Baik, Myong-Soon Park, Dong Sam Ha. 309-312 [doi]
- Dual-monotonic domino gate mapping and optimal output phase assignment of domino logicMin Zhao, Sachin S. Sapatnekar. 309-312 [doi]
- Real-time polygon extraction from complex imagesJohn Gates, Miki Haseyama, Hideo Kitajima. 309-312 [doi]
- Joint source-channel coding for highly efficient error resilient image transmissionJ. Vass, Xinhua Zhuang. 311-314 [doi]
- Multi-scale zerotree entropy codingIraj Sodagar, Hung-Ju Lee, Paul Hatrack, Bing-Bing Chai. 311-314 [doi]
- Proposal for a convergence criterion to the active net in two stepsYoshitaka Matsuda, Yasuaki Sumi, Dai Kataoka, Makoto Ota, Noboru Yabuki, Yutaka Fukui, Shigehiko Miki. 313-316 [doi]
- Efficient spectral method for disjoint bi-decompositions of Boolean functionsBogdan J. Falkowski, Sudha Kannurao. 313-316 [doi]
- A limited hardware resources efficient motion estimation algorithmM. Friederich, D. Stephanie. 313-316 [doi]
- A 1.8-GHz CMOS VCO tuned by an accumulation-mode MOS varactorPietro Andreani, Sven Mattisson. 315-318 [doi]
- A switched-capacitor DSB to SSB converter using a recursive Hilbert transformer with sampling rate reductionJose Gabriel R. C. Gomes, Antonio Petraglia. 315-318 [doi]
- A compact factored form for a Boolean functionJiann-Chyi Rau, Y. M. Chen, Shih-Chieh Chang. 317-320 [doi]
- Combinatorial logic based forward converters in residue number systemsA. B. Premkumar, M. Bhardwaj. 317-320 [doi]
- Novel fast motion estimation for frame rate/structure conversionJ. W. C. Wong, O. C. Au, P. H. W. Wong. 317-320 [doi]
- A field-programmable mixed-signal array architecture using antifuse interconnectsR. T. Edwards, Kim Strohbehn, Steven E. Jaskulek. 319-322 [doi]
- An adaptive offset cancellation mixer for direct conversion receivers in 2.4 GHz CMOSMark Lehne, John T. Stonick, Un-Ku Moon. 319-322 [doi]
- A fast block matching for SIMD processors using subsamplingF. Moschetti, E. Debes. 321-324 [doi]
- A new architecture to compute the discrete cosine transform using the quadratic residue number systemJ. Ramirez, A. Garcia, Pedro G. Fernández, Luis Parrilla, Antonio Lloris-Ruíz. 321-324 [doi]
- Skew symmetry detection using the Walsh spectral coefficientsBogdan J. Falkowski, Sudha Kannurao. 321-324 [doi]
- Noise-tolerant switched-capacitor time-delay measurement system for micro-fluidic flow sensingWaisiu Law, Martin A. Afromowitz. 323-326 [doi]
- Temperature compensation design for a 2.4 GHz CMOS low noise amplifierYue Wu, Chunlei Shi, Mohammed Ismail, Håkan Olsson. 323-326 [doi]
- A family of redundant multipliers dedicated to fast computation for signal processingYannick Dumonteix, Habib Mehrez. 325-328 [doi]
- A programmable spatiotemporal image processor chipV. Gruev, R. Etienne-Cummings. 325-328 [doi]
- High-performance multiplexer-based logic synthesis using pass-transistor logicShen-Fu Hsiao, Jia-Siang Yeh, Da-Yen Chen. 325-328 [doi]
- An analog front-end speech processor using the ratio spectrumJohn G. Harris, Shao-Jen Lim. 327-330 [doi]
- A 2-V 900-MHz CMOS mixer for GSM receiversToby Kwok-Kei Kan, Kin Chung Mak, Dongsheng Ma, Howard C. Luong. 327-330 [doi]
- 2 -scaling constraintsTakao Hinamoto, S. Kanemori. 329-332 [doi]
- A novel algorithm for signed-digit online multiply-accumulate operation and its purely signed-binary hardware implementationWilliam G. Natter, Behrouz Nowrouzian. 329-332 [doi]
- A CMOS smart focal plane for infra-red imagersP. O. Pouliquen, A. G. Andreou, C. Cauwenber, C. W. Terrill. 329-332 [doi]
- Design and optimization of a low noise 2.4 GHz CMOS VCO with integrated LC tank and MOSCAP tuningDonhee Ham, Ali Hajimiri. 331-334 [doi]
- A receive path ΔΣ frequency to digital converterNorm M. Filiol, Tom A. D. Riley, Miles A. Copeland, Calvin Plett. 331-334 [doi]
- An adaptive optical sensorC. Wang, F. Devos. 333-336 [doi]
- Redundant linear coding for accelerating counting and comparison operationsItamar Elhanany, Ortal Arazi. 333-336 [doi]
- Genetic algorithm approach for designing arbitrary FIR log filtersHung-Ching Lu, Shian-Tang Tzeng. 333-336 [doi]
- Model-based testing of high-resolution ADCsCarsten Wegener, Michael Peter Kennedy. 335-338 [doi]
- A CMOS reduced-area SRAM cellT.-H. Joubert, E. Seevinck, M. du Plessis. 335-338 [doi]
- A noise-shaping accelerometer interface circuit for two-chip implementationT. Kajita, Un-Ku Moon, Gabor C. Temes. 337-340 [doi]
- High-speed/low-power 1-D DWT architectures with high efficiencyFrancescomaria Marino, David Z. Gevorkian, Jaakko T. Astola. 337-340 [doi]
- Low-power digital filtering using approximate processing with variable canonic signed digit coefficientsYoung-Woo Kim, Young-Mo Yang, Jae-Tack Yoo, Soo-Won Kim. 337-340 [doi]
- Comprehensive defect analysis and testability of current-mode logic circuitsSaman Adham, Dhamin Al-Khalili, Come Rozon, D. Raez. 339-342 [doi]
- A floating-gate pFET based CMOS programmable analog memory cell arrayJ. A. Bragg, R. R. Harrison, P. Hasler, S. P. DeWeerth. 339-342 [doi]
- A unified approach to the steady-state analysis of quantized adaptive filtering algorithmsNabil R. Yousef, Ali H. Sayed. 341-344 [doi]
- Integrated chemical sensors based on carbon black and polymer films using a standard CMOS process and post-processingJ. A. Dickson, R. M. Goodman. 341-344 [doi]
- Low power, area efficient programmable filter and variable rate decimatorEugene Grayver, Babak Daneshrad. 341-344 [doi]
- Ultra low-voltage floating-gate transconductance amplifier with tunable gain and linearityYngvar Berg, Øivind Naess, Mats Høvin. 343-346 [doi]
- A methodology for validating digital circuits with mutation testingPatrice Vado, Yvon Savaria, Yannick Zoccarato, Chantal Robach. 343-346 [doi]
- Determination of radix numbers of the Booth algorithm for the optimized programmable FIR architectureLi-Hsun Chen, Wei-Lung Liu, Oscal T.-C. Chen. 345-348 [doi]
- Method to update the feedback control filter coefficients under active noise controlK. Fujii, M. Muneyasu, J. Ohga. 345-348 [doi]
- Design and implementation of a 16 by 16 low-power two's complement multiplierAlexander Goldovsky, Bimal Patel, Michael Schulte, Ravi Kolagotla, Hosahalli Srinivas, Geoffrey Burns. 345-348 [doi]
- Ultra low-voltage floating-gate transconductance amplifierYngvar Berg, Øivind Naess, Mats Høvin. 347-350 [doi]
- A controller resynthesis based method for improving datapath testabilityMarie-Lise Flottes, Bruno Rouzeyre, Laurent Volpe. 347-350 [doi]
- Active noise control system without secondary path modelY. Kajikawa, Y. Nomura. 349-352 [doi]
- Complex EKF neural network for adaptive equalizationKorrai Deergha Rao, M. N. S. Swamy, Eugene I. Plotkin. 349-352 [doi]
- A hybrid segmentation and block processing algorithm for low power implementation of digital filtersAhmet Teyfik Erdogan, Tughrul Arslan. 349-352 [doi]
- A programmable continuous-time analog Fourier processor based on floating-gate devicesM. Kucic, A. Low, P. Hasler. 351-354 [doi]
- The left edge algorithm in block test scheduling under power constraintsValentin Muresan, Xiaojun Wang, Mircea Vladutiu. 351-354 [doi]
- Performance improvements on MEFX-LMS based noise cancellation system in vehicle cabinM. Akiho, M. Tamura, M. Haseyama, H. Kitajima. 353-356 [doi]
- Polyphase decomposition channelizers for software radiosWeng Ho Yung, Min Jian, Yew Wee Ho. 353-356 [doi]
- FPGA implementation of a demux based on a multirate filter bankMarco Re, Gian-Carlo Cardarilli, Andrea Del Re, Roberto Lojacono. 353-356 [doi]
- Explicit formula for generalized half-band maximally flat diamond-shaped filtersS. Samadi, A. Nishihara. 355-358 [doi]
- Design of stable minimax IIR digital filters using semidefinite programmingWu-Sheng Lu. 355-358 [doi]
- Convergence and steady-state analysis of the cost function adaptation algorithms for data echo cancellationC. Rusu, C. F. N. Cowan. 357-360 [doi]
- Design methodology for Booth-encoded Montgomery module design for RSA cryptosystemJye-Jong Leu, An-Yeu Wu. 357-360 [doi]
- A new ML-based data-aided feedforward symbol synchronizer for burst-mode transmissionGonçalo Nuno Gomes Tavares, Luís Miguel Gomes Tavares, Moisés Simões Piedade Piedade. 357-360 [doi]
- Synthesis of low-sensitivity second-order digital filter using genetic programming with automatically defined functionsKazuyoshi Uesaka, Masayuki Kawamata. 359-362 [doi]
- Design and efficient implementation of high-speed narrow-band recursive digital filters using single filter frequency masking techniquesO. Gustafsson, H. Johansson, L. Wanhammar. 359-362 [doi]
- Accelerated frequency domain adaptive filter using modified DFT pair and its application to noise cancelingI. Nakanishi, Y. Itoh, Y. Fukui. 361-364 [doi]
- An ALU design using a novel asynchronous pipeline architectureTin-Yau Tang, Chiu-sing Choy, Jan Butas, Cheong-fat Chan. 361-364 [doi]
- A multipath polyphase digital-to-analog converter for software radio transmission systemsD. Domanin, Umberto Gatti, Piero Malcovati, Franco Maloberti. 361-364 [doi]
- FIR digital filter implementation using flattened coefficientSang-Hun Yoon, Jong-Wha Chong. 363-366 [doi]
- On the effects of zero-pole pairs and individual zeros and poles on discrete-time transfer functionsLuiz W. P. Biscainho, Paulo Sergio Ramirez Diniz. 363-366 [doi]
- A new architecture for implementing pipelined ADFJames Okello, Shin'ichi Arita, Yoshio Itoh, Yutaka Fukui, Masaki Kobayashi. 365-368 [doi]
- A short tutorial on network calculus. II. Min-plus system theory applied to communication networksJ. Y. Le Boudec, P. Thiran, S. Giordano. 365-368 [doi]
- Low complexity VLSI implementation of a joint successive interference cancellation with interleaving schemeBob Ka-Man Wong, Chi-Ying Tsui, R. S.-K. Cheng. 365-368 [doi]
- Stability analysis of the second-order lossless digital integrator allpass filterJohnny Holmberg, Lennart Harnefors, Svante Signell. 367-370 [doi]
- High-speed, low-complexity fir filter using multiplier block reduction and polyphase decompositionMarcos Martinez-Peiro, Lars Wanhammar. 367-370 [doi]
- Application of the OGY method to the control of chaotic DC-DC converters: theory and experimentsR. Santos Bueno, José Luis Rodríguez-Marrero. 369-372 [doi]
- Dominating tails in a tandem of queues with long range dependent arrival and service processesR. Agrawal, F. Baccelli. 369-372 [doi]
- A highly accurate pipelined architecture for a CORDIC ARMA lattice filterShin'ichi Shiraishi, Miki Haseyama, Hideo Kitajima. 369-372 [doi]
- Complex Chebyshev approximation for IIR digital filtersXi Zhang, Kazuyoshi Suzuki, Toshinori Yoshikawa. 371-374 [doi]
- Families of binary coefficient biorthogonal wavelet filtersD. B. H. Tay. 371-374 [doi]
- Efficient pipelined tunable heterodyne notch filter implementation in FPGAsAsad Azam, Dhinesh Sasidaran, Karl E. Nelson, Gary E. Ford, Louis G. Johnson, Michael A. Soderstrand. 373-376 [doi]
- Control theory approach to shadowing and possible applicationsMaciej Ogorzalek, Hervé Dedieu. 373-376 [doi]
- Measurement and mismatch-modelling of semiconductor devices in BiCMOS technologyH. Holler. 373-376 [doi]
- Time-frequency signal subspace fitting method for direction-of-arrival estimationLiang Jin, Qin-Ye In, Wen-jie Wang. 375-378 [doi]
- A flexible design of packets over SONET or directly over fiberJie Chen, Paul Lungner, Jit Kumar. 375-378 [doi]
- A 5.26 Mflips programmable analogue fuzzy logic controller in a standard CMOS 2.4 μ technologyCarlos Dualibe, Paul G. A. Jespers, Michel Verleysen. 377-380 [doi]
- A physical approach to mismatch modelling and parameter correlationsJ. Oelun, U. Grunebaum, K. Schumacher. 377-380 [doi]
- Controlling switching systems: a bifurcation approachMario di Bernardo. 377-380 [doi]
- ATM switch design using code division multiple access techniquesJ. Ding, G. E. Sobelman. 379-382 [doi]
- A fast synchronizer for burst modems with simultaneous symbol timing and carrier phase estimationsDengwei Fu, A. N. Willson. 379-382 [doi]
- A new strong inversion 5-parameter transistor mismatch modelT. Serrano-Gotarredona, B. Linares-Barranco. 381-384 [doi]
- Compact modular expandable analog defuzzifiers using multiple input floating gate transistor transconductance multipliersJaime Ramírez-Angulo, S. C. Choi, J. Z. Zrilic. 381-384 [doi]
- LMI-based synthesis for controlling periodic solutions in a class of nonlinear systemsMichele Basso, Lorenzo Giovanardi, Alberto Tesi. 381-384 [doi]
- Impact of chaotic self-similar and Poisson traffics on WLAN token passing protocolsAlessandra Giovanardi, Gianluca Mazzini. 383-386 [doi]
- Pilot-symbol assisted channel estimation for coherent DS-CDMA communicationsHai-wei Wang, Che-Ho Wei. 383-386 [doi]
- Floating-gate techniques for assessing mismatchB. A. Minch. 385-388 [doi]
- A novel genetic algorithim based on immunityLei Wang 0018, Licheng Jiao. 385-388 [doi]
- Bifurcation control in an underactuated pendulumDiego Alonso, Eduardo E. Paolini, Jorge L. Moiola. 385-388 [doi]
- High-speed reduced-state sequence estimationE. F. Haratsch, K. Azadet. 387-390 [doi]
- A new hardware design and FPGA implementation for Internet routing towards IP over WDM and terabit routersIlion Yi-Liang Hsiao, Chein-Wei Jen. 387-390 [doi]
- Mixed-signal VLSI for neural and fuzzy sequential processorsJordi Madrenas, Eduard Alarcón, Jordi Cosp, Juan Manuel Moreno, Alberto Poveda, Joan Cabestany. 389-392 [doi]
- Brain electrical activity in epilepsy: characterization of the spatio-temporal dynamics with cellular neural networks based on a correlation dimension analysisRonald Kunz, Ronald Tetzlaff, D. Wolf. 389-392 [doi]
- Calibration and matching of floating gate devicesW. P. Millard, Z. K. Kalyjian, A. G. Andreou. 389-392 [doi]
- A wave-pipelined CMOS associate router for communication switchesJosé G. Delgado-Frias, Jabulani Nyathi. 391-394 [doi]
- Two algorithms for frequency estimation of a real sinusoid from short data recordsH. C. So, Y. T. Chan. 391-394 [doi]
- Analysis and design of cellular neural networks, through a space-time spectral approachPier Paolo Civalleri, Marco Gilli. 393-396 [doi]
- A fuzzy membership function circuit in SC techniqueGianluca Giustolisi, Giuseppe Palmisano, Gaetano Palumbo. 393-396 [doi]
- Silicon retina for autofocusT. Delbruck. 393-396 [doi]
- Design of stable 2D IIR digital filters using iterative semidefinite programmingW.-S. Lu. 395-398 [doi]
- A routing technique using fuzzy sets theoryMasayuki Mur Akami. 395-398 [doi]
- A silicon olfactory bulb oscillatorVitor M. Grade Tavares, José C. Principe, John G. Harris. 397-400 [doi]
- Binocular visual feedback with CNN sensorsZiyi Lu, Bertram E. Shi. 397-400 [doi]
- A current-mode spike-based overrange-subrange analog-to-digital converterR. Sarpeshkar, R. Herrera, H. Yang. 397-400 [doi]
- The zero structure of nD linear systemsP. Zaris, Jeffrey Wood, Eric Rogers. 399-402 [doi]
- On accelerating slicing floorplan design with boundary constraintsEn-Cheng Liu, Tu-Hsing Lin, Ting-Chi Wang. 399-402 [doi]
- Neuromorphic cochlea implantsT. S. Lande, J. T. Marienborg, Yngvar Berg. 401-404 [doi]
- A real frequency technique optimizing broadband equalizer elementsThomas R. Cuthbert. 401-404 [doi]
- Implementation of non-linear templates using a decomposition technique by a 0.5 μm CMOS CNN universal chipGustavo Liñán, Péter Földesy, A. Rodrignez-Vazquez, Servando Espejo, Rafael Domínguez-Castro. 401-404 [doi]
- Sensitivity of interconnect delay to on-chip inductanceY. I. Ismail, E. G. Friedman. 403-406 [doi]
- Strong practical stability for a class of 2D linear systemsKrzysztof Galkowski, Eric Rogers, Artur Gramacki, Jaroslaw Gramacki, David Owens. 403-406 [doi]
- Reverse engineering of the visual system using networks of spiking neuronsS. J. Thorpe, A. Delorme, R. Van Rullen, W. Paquier. 405-408 [doi]
- Optimum Laguerre filter design technique for sigma-delta demodulatorsSaman S. Abeysekera, Xue Yao. 405-408 [doi]
- Fuzzy reasoning for the design of CNN-based image processing systemsMarco Balsi, Francesco Voci. 405-408 [doi]
- Partial differential equation models for continuous multidimensional systemsRudolf Rabenstein, Lutz Trautmann. 407-410 [doi]
- A new faster sequence pair algorithm [circuit layout]C. Lin, Domine M. W. Leenaerts. 407-410 [doi]
- A 3.3 V switched-current second order sigma-delta modulator for audio applicationsMourad Loulou, Dominique Dallet, Philippe Marchegay. 409-412 [doi]
- Active filter synthesis based on tuneable log-domain lossy integratorsNikos Fragoulis, Ioannis Haritantis, George A. Constantinides. 409-412 [doi]
- Switched-capacitors versus switched-currents: a theoretical comparison [in CMOS]John B. Hughes, Apisak Worapishet, Christofer Toumazou. 409-412 [doi]
- Genetic algorithm optimisation for evanescent mode waveguide filter designM. Lecouve, P. Jarry, E. Kerherve, N. Boutheiller, F. Marc. 411-414 [doi]
- System theoretic ideas for construction of ND in (N⩾2) causal, stable, perfect reconstruction filter banksSankar Basu. 411-414 [doi]
- Low-voltage class AB two-step sampling switched-currentsApisak Worapishet, John B. Hughes, Christofer Toumazou. 413-416 [doi]
- Switched-current ΣΔ modulator for baseband channel applicationsTheodore Georgantas, Stamatis Bouras, Yannis Panananos, Dimitris Dervenis. 413-416 [doi]
- Synthesis of multiple resonance networksAntonio-Carlos M. de Queiroz. 413-416 [doi]
- Building blocks for large annealed compact neural networksM. Laiho, A. Paasio, K. Halonen. 415-418 [doi]
- A current-mode CMOS loser-take-all with minimum function for neural computationsNicolas Donckers, Carlos Dualibe, Michel Verleysen. 415-418 [doi]
- Reliable analysis of settling errors in SC integrators-application to the design of high-speed ΣΔ modulatorsRocío Del Río, Fernando Manuel Medeiro Hidalgo, Maria Belen Pérez-Verdú, Ángel Rodríguez-Vázquez. 417-420 [doi]
- A CMOS general-purpose sampled-data analogue microprocessorPiotr Dudek, Peter J. Hicks. 417-420 [doi]
- 1.7 GHz bipolar optoelectronic receiver using conventional 0.8 μm BiCMOS processGeorgios Halkias, Nikos Haralabidis, Efstathios D. Kyriakis-Bitzaros, Stavros Katsafouros. 417-420 [doi]
- An analog on-chip learning circuit architecture of the weight perturbation algorithmFrancesco Diotalevi, Maurizio Valle, Gian Marco Bo, Ezio Biglieri, Daniele D. Caviglia. 419-422 [doi]
- Reaction-diffusion CNN chip. I. IC implementationPaolo Arena, M. Branciforte, G. Di Bernardo, M. Lavorgna, Luigi Occhipinti. 419-422 [doi]
- Design of 1 V switched-current cells in standard CMOS processSaroj Rout, Edward K. F. Lee. 421-424 [doi]
- A switched-capacitor DAC with analog mismatch correctionUn-Ku Moon, Jose Silva, Jesper Steensgaard, Gabor C. Temes. 421-424 [doi]
- A 1 GHz CMOS transimpedance amplifier for chip-to-chip optical interconnectsNikos Haralabidis, Stavros Katsafouros, George Halkias. 421-424 [doi]
- A 1-D local image velocity sensor using Gabor filteringKwok Kit Lau, Bertram E. Shi. 423-426 [doi]
- Exact realization of large DT-CNNs on limited-sized CNN circuitsA. Marongiu, V. Cimagalli. 423-426 [doi]
- Gain- and offset-compensated non-inverting SC circuitsXaojing Shi, Hirokazu Matsumoto, Kenji Murao. 425-428 [doi]
- A CMOS laser driver with independently adjustable DC and modulation currents for data rates up to 2.5 Gb/sNikos Haralabidis, Georgios Halkias. 425-428 [doi]
- Thermal noise analysis of multi-input SC-integrators for delta-sigma modulator designO. Oliaei. 425-428 [doi]
- CMOS dynamic linking networks for real-time human face trackingRonald G. Spencer. 427-430 [doi]
- Reaction-diffusion CNN chip. II. ApplicationsPaolo Arena, M. Branciforte, G. Di Bernardo, M. Lavorgna, Luigi Occhipinti. 427-430 [doi]
- Determination of main system parameters of FM-DCSK telecommunications systemMichael Peter Kennedy. 429-432 [doi]
- A sectored receiver for infrared wireless networksLuis Nero Alves, Rui L. Aguiar, Eduardo de Vasconcelos, José Luis Cura. 429-432 [doi]
- Evaluation of non-linear modelling techniques for MOSFETs based on vectorial large-signal measurementsDominique Schreurs, Servaas Vandenberghe, Geert Carchon, Bart Nauwelaers, Ewout Vandamme, Gonçal Badenes, Ludo Deferm. 429-432 [doi]
- Design of a cellular nonlinear network for analogue simulation of reaction-diffusion PDEsV. Bonaiuto, A. Maffucci, G. Miano, M. Salerno, F. Sargeni, C. Visone. 431-434 [doi]
- An analog VLSI model of muscle sarcomeresTina A. Hudson, David C. Lin, Julian A. Bragg, Edgar A. Brown, Stephen P. DeWeerth. 431-434 [doi]
- A high-speed four-channel integrated optical receiver array using SiGe HBT technologySung Min Park, Christofer Toumazou, Christos Papavassiliou. 433-436 [doi]
- An efficient and practical MOS statistical model for digital applicationsQ. Zhang, Juin J. Liou, John McMacken, Kevin Stiles, J. Ross Thomson, Paul Layman. 433-436 [doi]
- Multipath performance of FM-DCSK chaotic communications systemGéza Kolumbán, Gábor Kis. 433-436 [doi]
- A 3.3 V 14-bit 10 MSPS calibration-free CMOS pipelined A/D converterSeung-Bin You, Ku-Whan Lee, Hee-Cheol Choi, Ho-Jin Park, Jae-Whui Kim, P. Chung. 435-438 [doi]
- ADC resolution enhancement by an analog decorrelatorAmir Hadji-Abdoeharnid, David A. Johns. 435-438 [doi]
- On the effectiveness of noise reduction methods in DCSK systemsZoltán Jako, Gábor Kis. 437-440 [doi]
- Statistical modeling of device characteristics with systematic fluctuationKenichi Okada, Hidetoshi Onodera. 437-440 [doi]
- A method for reducing the variation in "on" resistance of a MOS sampling switchAdrian K. Ong, Vladimir I. Prodanov, Maurice Tarsia. 437-440 [doi]
- Sample-and-hold amplifiers performing internal antialiasing filtering and their applications in digital receiversYefim S. Poberezhskiy, Gennadiy Y. Poberezhskiy. 439-442 [doi]
- A 1.4 V 10-bit 20 MSPS pipelined A/D converterHee-Cheol Choi, Ho-Jin Park, Shin-Kyu Bae, Jae-Whui Kim, Philip Chung. 439-442 [doi]
- Very low-voltage fully differential amplifier for switched-capacitor applicationsMohamed A. Dessouky, Andreas Kaiser. 441-444 [doi]
- Multiplexing chaotic signals in the presence of noiseYuri V. Andreyev, Alexander S. Dmitriev, Elena V. Efremova. 441-444 [doi]
- 2 oxide film including polysilicon gate depletion: validation with an EEPROM memory cellNadia Harabech, Rachid Bouchakour, Pierre Canet, Philippe Pannier, J. P. Sorbier. 441-444 [doi]
- The design of a 1.5 V, 10-bit, 10 M samples/s low power pipelined analog-to-digital converterJen-Shiun Chiang, Ming-Da Chiang. 443-446 [doi]
- A fully balanced programmable sample-hold amplifier for low-voltage applicationsFathi A. Farag, Marcio C. Schneide, Carlos Galup-Montoro. 443-446 [doi]
- An algorithm for automatic model-order reduction of nonlinear MEMS devicesJinghong Chen, Sung-Mo Kang. 445-448 [doi]
- Efficient common-mode feedback circuits for pseudo-differential switched-capacitor stagesLei Wu, Mustafa Keskin, Un-Ku Moon, Gabor C. Temes. 445-448 [doi]
- A BICMOS PDF notch circuit for FM-DCSK communication over selective channelsSergio Callegari, Riccardo Rovatti, Gianluca Setti, Gianluca Mazzini. 445-448 [doi]
- A 2.4 V, 12 mW stereo audio D/A converter with double sampling switchingNam-Keal Kim, Wang-Seup Yeum, Jae-Whui Kim. 447-450 [doi]
- Digital offset compensation of time-interleaved ADC using random chopper samplingJan-Erik Eklund, Fredrik Gustafsson. 447-450 [doi]
- New multiple input fully differential variable gain CMOS instrumentation amplifierAntonio J. Gano, Jose E. Franca. 449-452 [doi]
- Performance analysis and architecture evaluation of MPEG-4 video codec systemHao-Chieh Chang, Liang-Gee Chen, Mei-Yun Hsu, Yung-Chi Chang. 449-452 [doi]
- Periodical nonuniform individually sampled switched-capacitor circuitsJosé L. Ausín, Juan F. Duque-Carillo, Guido Torelli, Edgar Sanchez-Sinencio, Franco Maloberti. 449-452 [doi]
- Efficient error-cancelling algorithmic ADCZhilliang Zheng, Byung-Moo Min, Un-Ku Moon, Gabor C. Temes. 451-454 [doi]
- Acquisition-time minimization and merged-capacitor switching techniques for sampling-rate and resolution improvement of CMOS ADCsYoung-Deuk Jeon, Seung-Chul Lee, Sang-Min Yoo, Seung-Hoon Lee. 451-454 [doi]
- Linearity enhancement techniques for MOSFET-only SC circuitsKritsapon Leelavattananon, Christofer Toumazou, John B. Hughes. 453-456 [doi]
- Optimization of an MPEG-4 decoding algorithm on a "very long instruction word" architectureAndrea Graziani, Stefano Battista. 453-456 [doi]
- A novel class A CMOS current conveyorSohrab Emami, Kazuyuki Wada, Shigetaka Tagaki, Nobuo Fujii. 453-456 [doi]
- A low-offset class-AB CMOS operational amplifierPak K. Chan, Liter Siek, Hwee C. Tay, Jing H. Su. 455-458 [doi]
- A high accuracy-low complexity model for CMOS delaysMario Roberto Casu, Guido Masera, Gianluca Piccinini, Massimo Ruo Roch, Maurizio Zamboni. 455-458 [doi]
- A 3.3 V CMOS line-driver for serial busAndrea Baschirotto, Giovanni Frattini. 457-460 [doi]
- An architecture for MPEG-4 binary shape decoderJ. Thinakaran, Duan Juat Wong-Ho, Nam Ling. 457-460 [doi]
- Multi-grid methods for power grid simulationSani R. Nassif, Joseph N. Kozhaya. 457-460 [doi]
- Inertial and degradation delay model for CMOS logic gatesJorge Juan-Chico, Paulino Ruiz-de-Clavijo, Manuel J. Bellido, Antonio J. Acosta, M. Valenia. 459-462 [doi]
- A high speed differential to single-ended amplifier for instrumentation applicationsDoug Dean, Ramesh Harjani. 459-462 [doi]
- Configurable VLSI-architectures for both standard DCT and shape-adaptive DCT in future MPEG-4 circuit implementationsThuyen Le, Manfred Glesner. 461-464 [doi]
- A 1.5 V CMOS VGA based on pseudo-differential structuresMichael M. Green, Sridevi R. Joshi. 461-464 [doi]
- A waveform bounding algorithm for simulation of RLC circuitsYao-Lin Jiang, Richard M. M. Chen, Omar Wing. 461-464 [doi]
- Detection and elimination of initial transient for accurate power analysisLi-Pen Yuan, Sung-Mo Kang. 463-466 [doi]
- BiCMOS current conveyor: design and applicationMohamed A. Yakout, AbdelFattah I. Abdelfattah, Ayman S. Elbazz. 463-466 [doi]
- MPEG-Pro, an authoring system for MPEG-4Souhila Boughoufalah, Jean-Claude Dufourd, Frédéric Bouilhaguet. 465-468 [doi]
- m-C filter designFernando Muñoz, Antonio Torralba, Ramón G. Carvajal, Jon N. Tombs, Jaime Ramírez-Angulo. 465-468 [doi]
- GLMC: interconnect length estimation by growth-limited multifold clusteringAtila Alvandpour, Per Larsson-Edefors, Christer Svensson. 465-468 [doi]
- Highly linear variable gain amplifiers with programmable temperature compensation for CDMA wireless applicationsHyunchol Shin, Dong-Jin Keum, Jin-Sub Choi, Duck-Young Jung, Byeong-ha Park. 467-470 [doi]
- Optimum probability model selection using Akaike's information criterion for low power applicationsRamamurti Chandramouli, Vamsi K. Srikantam. 467-470 [doi]
- Bifurcation phenomena in the Colpitts oscillator: a robustness analysisOscar De Feo, Gian Mario Maggio. 469-472 [doi]
- Effect of smooth non-linear distortion on OFDM BERChris van den Bos, Michiel H. L. Kouwenhoven, Wouter A. Serdijn. 469-472 [doi]
- Efficient interconnect timing analysis via piecewise linear techniqueJerzy Dabrowski. 469-472 [doi]
- Novel universal active block: a universal current conveyorDaniel Becvar, Kamil Vrba, Vaclav Zeman, Vladislav Musil. 471-474 [doi]
- Improving simulation efficiency for circuit-level power estimation [CMOS]Radu Marculescu, Cristinel Ababei. 471-474 [doi]
- Recursive all-lag reference-code correlator and its efficient implementationTony T. S. Ng, Kun-Wah Yip, Chin-Long Cheng. 473-476 [doi]
- Bifurcation in parallel-connected boost DC/DC convertersHerbert H. C. Iu, C. K. Tse. 473-476 [doi]
- Statistical delay calculation with vector synthesis modelTomohiro Fujita, Hidetoshi Onodera. 473-476 [doi]
- Bifurcation and bursting response in coupled neural oscillatorsTetsushi Ueta, Guanrong Chen. 475-478 [doi]
- Maximum principles for node voltages and branch currents in transfinite resistive networksArmen H. Zemanian. 475-478 [doi]
- Nonlinear dynamics of first-order DPLL with FM inputOrla C. Feely. 477-480 [doi]
- Novel voltage-regulating circuit for low-voltage and low-power OTA realization using MOSFETs in the non-saturation regionTakahide Sato, Kazuyuki Wada, Shigetaka Takagiand, Nobuo Fujii. 477-480 [doi]
- Relationships between static bifurcations and constrained optimaNicholas G. Maratos, Costas D. Vournas. 477-480 [doi]
- On synchronization phenomena in chaotic systems coupled by transmission lineJunji Kawata, Yoshifumi Nishio, Akio Ushida. 479-482 [doi]
- Identifications of Hasler's classes of linear resistive circuit structuresJ. Cel. 479-482 [doi]
- Analysis of border-collision bifurcation in a simple circuitTakuji Kousaka, Takashi Kido, Tetsushi Ueta, Hiroshi Kawakami, Minoru Abe. 481-484 [doi]
- A fully-programmable temperature-compensated analogue circuit for Gaussian functionsMiguel Melendez-Rodriguez, José Silva-Martínez. 481-484 [doi]
- Non-average performance of chaos-based DS-CDMA: a tensor approach to analytical any-order correlation of spreading sequencesRiccardo Rovatti, G. Mazzini, Gianluca Setti. 481-484 [doi]
- Synthesis of dynamic multiple-input translinear element networksBradley A. Minch. 483-486 [doi]
- On partial synchronization of continuous and discrete-time coupled dynamical systemsIgor V. Belykh, Vladimir N. Belykh. 483-486 [doi]
- Direct memory access frequency synthesizer for channel efficiency improvement in frequency hopping communicationChung M. Yuen, Kim Fung Tsang, Wai Hung Chan. 485-488 [doi]
- On cyclic fold bifurcations in nonlinear systemsGuillermo L. Calandrini, Daniel W. Berns, Eduardo E. Paolini, Jorge L. Moiola. 485-488 [doi]
- A novel CMOS four quadrant multiplier based on linearization of the long tail differential pairAly H. Ismail, Ahmed M. Soliman. 485-488 [doi]
- A network of relaxation oscillators based on intermittently coupled capacitorsFumitaka Komatsu, Hiroyuki I. Torikai, Toshimichi Saito. 487-490 [doi]
- A necessary condition for the realization of a resistive n-port based on network size and on the concept of weighted terminal valencyGerald E. Subak-Sharpe, George P. H. Styan. 487-490 [doi]
- A high-swing MOS cascode bias circuit for operation at any current levelVolney C. Vincence, Carlos Galup-Montoro, Márcio C. Schneider. 489-492 [doi]
- A simple threshold nonlinearity for blind separation of sub-Gaussian signalsH. Mathis, M. Joho, G. S. Moschytz. 489-492 [doi]
- Sensorless vector control of induction motor using artificial neural networkHung-Ching Lu, Ta-Hsiung Hung, Cheng-Hung Tsai. 489-492 [doi]
- An observer point of view on synchronization of discrete-time systemsH. J. C. Nuijberts, Henk H. Nijmeijer, Alexander Yu. Pogromsky. 491-494 [doi]
- Circuit analysis and optimization with automatically derived Volterra kernelsAndreas Bauer, Wowgang Schwarz. 491-494 [doi]
- Stability and transient behavior of Bode-type variable-amplitude digital equalizers with dynamic variable multiplier variationsA. T. G. Fuller, B. Nowrouzian. 493-496 [doi]
- A 2 W BTL single-chip class-D power amplifier with very high efficiency for audio applicationsJun-Woo Lee, Jae-Shin Lee, Gun-Sang Lee, Suki Kim. 493-496 [doi]
- A new CMOS readout circuit for uncooled bolometric infrared focal plane arraysTsung-Hsin Yu, Chung-Yu Wu, Pei-Yen Chen, Fa-Wen Chi, Jiunn-Jye Luo, Cheng Der Chiang, Ya-Tung Cherng. 493-496 [doi]
- Power factor correction circuit with ZVT for all switchesY. Bevkovich, Adrian Ioinovici. 495-498 [doi]
- Decoupled optimization technique for design of switching regulators using genetic algorithmsJ. Zhang, H. Chung, W. L. Lo, S. Y. R. Hui, A. Wu. 495-498 [doi]
- A general method for sensor linearization based on neural networksNicolás J. Medrano-Marqués, Bonifacio Martin-del-Brio. 497-500 [doi]
- A fast convergent algorithm for identification of noisy autoregressive signalsWei Xing Zheng. 497-500 [doi]
- Chaos in Josephson junction circuits with voltage-dependent supercurrentDmitry A. Altshuller. 497-500 [doi]
- Development of a generalized switched-capacitor DC/DC converter with bi-directional power flowH. Chung, A. Ioinovici. 499-502 [doi]
- FPGA based-IC design for inverter with vector modulation techniqueTheerayod Wiangtong, Worranart Sangchai, Pichit Lumyong. 499-502 [doi]
- Adaptive time delay estimation for sinusoidal signalH. C. So. 501-504 [doi]
- Application of a novel battery charger system to new developed piezoelectric actuator for high speed micropositioning motionYi-Cheng Huang, Wen-Yung Liang, Chao-Cheng Lu, Chih-Wen Hsieh. 501-504 [doi]
- Driving cryptosystems with hyperchaotic signals: an approach involving linear observersGiuseppe Grassi, Saverio Mascolo. 501-504 [doi]
- A general method for deriving output resistances of serial fixed type switched-capacitor power suppliesI. Oota, N. Hara, F. Ueno. 503-506 [doi]
- IC controller for phase-controlled dimmable compact fluorescent lamps with closed-loop controlWilson W. S. Chan, Philip K. T. Mok, Alex T. K. Ng, Wing-Hung Ki, Johnny K. O. Sin. 503-506 [doi]
- Improved parameter estimation of linear systems with noisy dataWei Xing Zheng. 505-508 [doi]
- Bifurcation and chaos of Chen's equationTetsushi Ueta, Guanrong Chen. 505-508 [doi]
- A novel application of the fast simulated diffusion algorithm for dynamical parameter identification of brushless motor drive systemsRichard A. Guinee, Colin Lyden. 505-508 [doi]
- A buck-boost converter controlled by periodic inputsToshimichi Saito, Hiroyuki Torikai, Yoshikazu Nomoto. 507-510 [doi]
- Generalized analysis of soft-switching DC-DC convertersJ. Abu-Qahouq, I. Batarseh. 507-510 [doi]
- Fast tracking conjugate gradient algorithmD. I. Kim, P. De Wilde. 509-512 [doi]
- Comparison of different chaotic maps with application to image watermarkingAthanasios Nikolaidis, Ioannis Pitas. 509-512 [doi]
- Current mirror compensation for transistor mismatchChristopher S. Taillefer, Chunyan Wang. 509-512 [doi]
- Modeling of conduction losses in PWM converters operating in discontinuous conduction modeGuangyong Zhu, Shiguo Luo, C. Iannello, I. Batarseh. 511-514 [doi]
- Theory and implementation of a new type of digital power amplifier for audio applicationsMartin Streitenberger, Helmut Bresch, Wolfgang Mathis. 511-514 [doi]
- Efficient and accurate modeling of power supply noise on distributed on-chip power networksLi-Rong Zheng, Bingxin Li, Hannu Tenhunen. 513-516 [doi]
- Integer discrete Fourier transform and its extension to integer trigonometric transformsSoo-Chang Pei, Jian-Jiun Ding. 513-516 [doi]
- Scalable interconnection networks for partial column array processor architecturesJarmo H. Takala, David A. Akopian, Jaakko T. Astola, Jukka P. Saarinen. 513-516 [doi]
- An efficient architecture for real-time content-based arithmetic codingDanian Gong, Yun He. 515-518 [doi]
- Parabolic approximation: a new method for phase to amplitude conversion in sine-output direct digital frequency synthesizersAmir M. Sodagar, G. Roientan Lahiji. 515-518 [doi]
- Look up table (LUT) inverse halftoningM. Meseand, P. P. Vaidyanathan. 517-520 [doi]
- Polynomial transform algorithms for multidimensional discrete Hartley transformYonghong Zeng, Guoan Bi, Abdul Rahim Leyman. 517-520 [doi]
- Load estimation in radial electric power distribution networks using limited measurementsJie Wan, Karen Nan Miu. 517-520 [doi]
- An efficient filter bank architecture for the cross-term reduced processing of discrete time-frequency distributionsThuyen Le, Manfred Glesner. 519-522 [doi]
- Median filter with varying bandwidth adaptive to unknown smoothness of the signalV. Katkovnik, K. Egiazarian, J. Astola. 519-522 [doi]
- A high-performance 1D-DCT architectureAhmed M. Shams, Wendi Pan, Archana Chandanandan, Magdy A. Bayoumi. 521-524 [doi]
- Discriminative learning strategy for efficient neural decision feedback equalizersE. D. Di Claudio, R. Parisi, G. Orlandi. 521-524 [doi]
- Current-mode non-linear building blocks based on floating-gate transistorsSpiridon Vlassis, Stylianos Siskos. 521-524 [doi]
- A novel VC routing algorithm based on chaotic neural networks in ATM networksSubing Zhang, Guoying Lv, Zemin Lin, Zheng Zhou. 523-526 [doi]
- Principal component filter banks: existence issues, and application to modulated filter banksSony Akkarakaran, P. P. Vaidyanathan. 523-526 [doi]
- Constructive homotopy methods for finding all or multiple DC operating points of nonlinear circuits and systemsJ. Lee, H. D. Chiang. 525-528 [doi]
- Design of discrete-time fractional Hilbert transformerChien-Cheng Tseng, Soo-Chang Pei. 525-528 [doi]
- Energy minimization in dynamic supply voltage scaling systems using data dependent voltage level selectionLama H. Chandrasena, Michael J. Liebelt. 525-528 [doi]
- A robust and efficient scale-space based metric for the evaluation of MPEG-4 VOPsE. Izquierdo, A. Pinheiro, M. Ghanbari. 527-530 [doi]
- Schur stability of interval bivariate polynomialsYang Xiao. 527-530 [doi]
- Tool integrated electromagnetic pulse induction technology to locate buried utilitiesBrian Handlon, Steven J. Lorenc, Leonhard Bernold, Gordon Lee. 529-532 [doi]
- Modified vector rotational CORDIC (MVR-CORDIC) algorithm and its application to FFTCheng-Shing Wu, An-Yeu Wu. 529-532 [doi]
- Recursive algorithm for the realization of the discrete cosine transformLap-Pui Chau, Wan-Chi Siu. 529-532 [doi]
- A globally convergent adaptive IIR filterA. David, T. Aboulnasr. 531-534 [doi]
- Performance evaluation of multithreaded architectures for media processing applicationsS. Balakrishnan, S. K. Nandy. 531-534 [doi]
- Oscillator design efficiency: a new figure of merit for oscillator benchmarkingJohan van der Tang, Dieter Kasperkovitz. 533-536 [doi]
- 1.4 V supply, wide swing, high frequency CMOS analogue multiplier with high current efficiencyJaime Ramírez-Angulo, Ramón G. Carvajal, Juana M. Martinez-Heredia. 533-536 [doi]
- Regularity in difference in transmission characteristics for Gaussian superlattices and periodic superlatticesKunihiko Asakura, Masakuyo Suzuki, Hirofumi Sanada, Nobuo Nagai. 533-536 [doi]
- Arbitrarily shaped video object coding by waveletGuiwei Xing, Jin Li, Shipeng Li, Ya-Qin Zhang. 535-538 [doi]
- Wave digital simulation of electrical networks containing nonlinear dynamical elements-a new approachDietrich Fränken. 535-538 [doi]
- An advanced contrast enhancement using partially overlapped sub-block histogram equalizationJoung-Youn Kim, Lee-Sup Kim, Seung Ho Hwang. 537-540 [doi]
- A high-speed single-phase-clocked CMOS priority encoderJinn-Shyan Wang, Chun-Shing Huang. 537-540 [doi]
- Efficient techniques based on gate triggering for designing static CMOS ICs with very low glitch power dissipationNihar R. Mahapatra, Sriram V. Garimella, Alwin Takeen. 537-540 [doi]
- Closed-form design of maximally flat R-regular Mth-band FIR filtersSoo-Chang Pei, Peng-Hua Wang. 539-542 [doi]
- A robust statistics based adaptive lattice-ladder filter in impulsive noiseYue Xian Zou, Shing-Chow Chan, Tung-Sang Ng. 539-542 [doi]
- A CMOS low-power, high-speed, asynchronous comparator for synchronous rectification applicationsGary B. Levy, Alessandro Piovaccari. 541-544 [doi]
- Applying the trigonometric collocation method to nonlinear circuitsA. Butonomo, A. Lo Schiavo. 541-544 [doi]
- A 14 bit, 1 GS/s digital-to-analog converter with improved dynamic performancesDongwon Seo, Andrew C. Weil, Milton Feng. 541-544 [doi]
- Automatic face recognition system using neural networksH. M. El-Bakry, M. A. Abo-Elsoud, M. S. Kamel. 543-546 [doi]
- Prototype filter design for subband adaptive filtering structures with critical samplingMariane R. Petraglia, P. B. Batalheriro. 543-546 [doi]
- Real time radar target detection under jamming conditions using wavelet transform on FPGA deviceE. Elsehely, M. I. Sobhy. 545-548 [doi]
- Analysis on an alternative structure of damping-factor-control frequency compensationKa Nang Leung, Philip K. T. Mok, Wing-Hung Ki, Johnny K. O. Sin. 545-548 [doi]
- A fast dynamic 64-bit comparator with small transistor countChua-Chin Wang, Hsin-Long Wu, Chih-Feng Wu. 545-548 [doi]
- A new VLSI architecture without global broadcast for 2-D digital filtersLan-Da Van, Chih-Chun Tang, Shing Tenqchen, Wu-Shiung Feng. 547-550 [doi]
- 3D computational graceful degradationG. Lafruit, L. Nachtergaele, K. Denolf, J. Bormans. 547-550 [doi]
- Transverse distributed coupling between specifically terminated low loss VLSI interconnectionsHuseyin M. Ozkaramanli. 549-552 [doi]
- New metrics for architectural level power performance evaluationLihong Jia, Yonghong Gao, Hannu Tenhunen. 549-552 [doi]
- A very wide-band 14 bit, 1 GS/s track-and-hold amplifierDongwon Seo, Andrew C. Weil, Milton Feng. 549-552 [doi]
- An adaptive notch filter for eliminating multiple sinusoids with reduced biasJ. Okello, S. Arita, Y. Itoh, Y. Fukui, M. Kobayashi. 551-554 [doi]
- Scalable implementation of H.263 video encoder on a parallel DSP systemPasi Kolinummi, Juha Sarkijarvi, Timo D. Hämäläinen, Jukka Saarinen. 551-554 [doi]
- Enhancing the ability of NAS-RIF algorithm for blind image deconvolutionM. Matsuyama, Y. Tanji, M. Tanaka. 553-556 [doi]
- High resolution spectrum estimation methods for signal analysis in power electronics and systemsTadeusz Lobos, T. Kozina, Zbigniew Leonowicz. 553-556 [doi]
- An 8×8 adiabatic quasi-static CMOS multiplierW. S. Mak, C. F. Chan, K.-W. Cheung, C.-S. Choy. 553-556 [doi]
- Modular neural networks for solving high complexity tasksH. M. El-Bakry, M. A. Abo-Elsoud, M. S. Kamel. 555-558 [doi]
- Reference curves of the pantograph impedance in DC railway systemsPatrizia Ferrari, Andrea Mariscotti, Paolo Pozzobon. 555-558 [doi]
- Wavelet compression of 3D medical images using conditional arithmetic codingN. V. Boulgouris, A. Leontaris, M. G. Strintzis. 557-560 [doi]
- A triple 8b, 80MSPS 3.3 V graphics digitizerIrfan A. Chaudrhry, Sung U. Kwak, Gabriele Manganaro, Maher Sarraj, T. Lakshmi Viswanathan. 557-560 [doi]
- Filter families with minimum impulse response momentsMladen Vucic, Hrvoje Babic. 557-560 [doi]
- A design of a streaming system for interactive television broadcastJin-Young Yang, Yongsuk Kim, Sang-Woo Ahn, Min-Sik Park, Chiteuk Ahn, Jong Won Seok, Young Kwon Lim, Kyu-Won Lee. 559-562 [doi]
- A general design of mixed IIR-FIR two channel QMF bankAbdesselam Klouche-Djedid, Stuart S. Lawson. 559-562 [doi]
- Low power digital design in FPGAs: a study of pipeline architectures implemented in a FPGA using a low supply voltage to reduce power consumptionAndrés D. Garcia, Wayne Burleson, Jean-Luc Danger. 561-564 [doi]
- Comparative investigations of substrate noise caused by voltage-mode and current-mode gatesPiotr Pawlowski, Andrzej Guzinski. 561-564 [doi]
- Millimeter-wave analysis of directional couplersM. L. Tounsi, B. Haraoubia. 561-564 [doi]
- Directional-rational approach for color image enhancementF. A. Cheikh, M. Gabbouj. 563-566 [doi]
- Convergence analysis of an oversampled subband adaptive filtering structure with local errorsMariane R. Petraglia, Rogerio Guedes Alves, Paulo Sergio Ramirez Diniz. 563-566 [doi]
- A numerical method to evaluate bifurcation aspects around generator stability limitYasunori Mitani, Peiyun Miao, Kiichiro Tsuji. 565-568 [doi]
- Continuous-time noise-shaping modulators with delay elementsLuis Hernandez. 565-568 [doi]
- On Jury's test for 2-D stability of discrete-time systems and its simplification by telepolationYuval Bistritz. 567-570 [doi]
- Interval neural networksZ. A. Garczarczyk. 567-570 [doi]
- Modified K-nearest neighbour filters for simple implementationD. Gevorkian, K. Egiazarian, J. Astola. 568-565 [doi]
- A 1-MHz 7th-order continuous-time lowpass filter using very low distortion CMOS OTAsEitake Ibaragi, Akira Hyogo, Keitaro Sekine. 569-572 [doi]
- The equivalent transmission-line approach to resonant tunneling problems described by the Kane modelHirofumi Sanada, Masakuyo Suzuki, Yoji Tsukui, Nobuo Nagai. 569-572 [doi]
- An interconnect-driven design of a DFT processorDaniel Eckerbert, Henrik Eriksson, Per Larsson-Edefors, Anders Edman. 569-572 [doi]
- MPEG-4 HVXC encoder realtime implementation on floating point DSPKyeongok Kang, Jin Woo Hong, Jinwoong Kim, Dae-Gwon Jeong. 571-574 [doi]
- A programmable pruning level control based MPEG video encoderS. Ramachandran, S. Srinivasan 0001. 571-574 [doi]
- Image watermarking using the complex Hadamard transformB. J. Falkowski, Lip-San Lim. 573-576 [doi]
- A new cost model for high-level power optimization and its applicationTaekyoon Ahn, Kiyoung Choi, Ki Hyun Kim, Seong-Kwan Hon. 573-576 [doi]
- A tunable CMOS square-root domain oscillatorAntonio J. López-Martín, Alfonso Carlosena. 573-576 [doi]
- Sync signal processing for asynchronously sampled video signalsR. Lares, A. Rothermel. 575-578 [doi]
- Haar filtering with pyramidal resistive networksRadu P. Matei. 575-578 [doi]
- Estimating spatial spectrum with generalized spectral-correlation signal subspace fittingLiang Jin, Qin-Ye Yin, Min Li Yao. 577-580 [doi]
- A CMOS charge pump for low voltage operationYiannis Moisiadis, I. Bouras, Angela Arapoyanni. 577-580 [doi]
- High-frequency CMOS low-power single-branch continuous-time filtersAndrea Baschirotto, Ugo Baschirotto, Rinaldo Castello. 577-580 [doi]
- Feature windowing-based Thai text-dependent speaker identification using MLP with backpropagation algorithmSutat Sae-tang, C. Tanprasert. 579-582 [doi]
- A class of two-channel approximately perfect reconstruction hybrid analog/digital filter banksPer Löwenborg, Håkan Johansson, Lars Wanhammar. 579-582 [doi]
- An improvement of FFT-based numerical inversion of two-dimensional Laplace transforms by means of ϵ-algorithmL. Brancik. 581-584 [doi]
- A 1 mW 10-bit 500KSPS SAR A/D converterJaejin Park, Ho-Jin Park, Jae-Whui Kim, Sangnam Seo, Philip Chung. 581-584 [doi]
- A parallel tabu search algorithm for VLSI standard-cell placementSadiq M. Sait, Habib Youssef, Hassan R. Barada, Ahmad Al-Yamani. 581-584 [doi]
- Real-time H.263 encoding of QCIF-images on TMS320C6201 fixed point DSPOlli Lehtoranta, Timo D. Hämäläinen, Jukka Saarinen. 583-586 [doi]
- An active development environment for structured audio performance and compositionM. Alchin. 583-585 [doi]
- FPGA-based digit-serial complex number multiplier-accumulatorT. Sansaloni, J. Valls, K. K. Parhi. 585-588 [doi]
- Identifying translinear loops in the circuit topologyRafael Vargas-Bernal, Arturo Sarmiento-Reyes, Wouter A. Serdijn. 585-588 [doi]
- Area-time efficient serial-serial multipliersA. Aggoun, A. S. Ashur, M. K. Ibrahim. 585-588 [doi]
- A lattice-based adaptive IIR notch filter and its application to FSK demodulationS. Nishimura, M. Aloys. 586-589 [doi]
- A system function representation by scale-shift transform-the case of Doppler effectIwao Nagashiro, Toichi Machida. 587-590 [doi]
- Q-enhancing technique for rf CMOS active inductorUroschanit Yodprasit, Jitkasame Ngarmnil. 589-592 [doi]
- A ultra high speed clock distribution technique using a cellular oscillator networkSungkil Hwang, Gyu Moon. 589-592 [doi]
- A methodology for the behavioral-level event-driven power management of digital receiversNikolaos D. Zervas, Dimitrios Soudris, Spyros Theoharis, Constantinos E. Goutis, Adonios Thanailakis. 589-592 [doi]
- Local competitive signals for an unsupervised competitive neural networkE. Chiarantoni, G. Acciani, F. Vacca. 590-593 [doi]
- Two stage, least squares design of biorthogonal filter banksDavid B. H. Tay. 591-594 [doi]
- An IFS-based image restoration method for impulsive noise contaminationMiki Haseyama, Megumi Takezawa, Hiroyuki Honda, Hideo Kitajima. 593-596 [doi]
- A low latency bi-directional serial-parallel multiplier architectureAhmed Bouridane, Mokhtar Nibouche, Omar Nibouche, Danny Crookes, Badr Albesher. 593-596 [doi]
- A fully parallel CMOS analog median filterAlejandro Díaz-Sánchez, Jaime Ramírez-Angulo, Antonio Lopez, Edgar Sánchez-Sinencio. 593-596 [doi]
- Statistical modelling of the MPEG-4 FlexMuxU. Mayer, J. Deicke, M. Glesner. 594-597 [doi]
- An area efficient video/audio codec for portable multimedia applicationSeongMo Park, Seongmin Kim, Kyeongjin Byeon, Jinjong Cha, Hanjin Cho. 595-598 [doi]
- Low power transformation of datapath architectures with cyclic SFGsMarek Wróblewski, Sven Simon, Josef A. Nossek. 597-600 [doi]
- Single chip implementation of the 1.6 kbps speech vocoderJia-Ching Wang, Jhing-Fa Wang, Han-Chiang Chen. 597-600 [doi]
- On optimal bit loading for multitone ADSLDavid W. Lin. 597-600 [doi]
- Nonlinear secondary-path effects on the transient behavior of the multiple-error FXLMS algorithmM. H. Costa, J. C. M. Bermudez, N. J. Bershad. 598-601 [doi]
- Stochastic pulse coded arithmeticSergio L. Toral Marín, José Manuel Quero Reboul, Leopoldo García Franquelo. 599-602 [doi]
- Design techniques for low-voltage VHF BiCMOS transconductance-filters with automatic tuningTsung-Sum Lee, Chun-Chieh Liu. 601-604 [doi]
- k-edge-connectivity augmentation problem with upper bounds on edge multiplicityDaisuke Takafuji, Satoshi Taoka, Toshimasa Watanabe. 601-604 [doi]
- Architecture driven filter transformationsManish Sharma, Naresh R. Shanbhag. 601-604 [doi]
- DOLFIN-digit online for integration neural networksA. Wassatsch, M. Haase, D. Timmermann. 602-605 [doi]
- Rotating stall analysis using signal-adapted filter bank and Cohen's time-frequency distributionsThuyen Le, Manfred Glesner. 603-606 [doi]
- Design and implementation of application specific sigma-delta A/D convertersHarri Lampinen, Olli Vainio. 605-608 [doi]
- VLSI systolic array architecture for the lattice structure of the discrete wavelet transformCarlos E. Cabrera Reyes, Javier D. Bruguera. 605-608 [doi]
- A low-voltage CMOS phase shifter as a resistive sensor transducerGiuseppe Ferri, Pierpaolo de Laurentis. 605-608 [doi]
- Automatic two-layer video object plane generation scheme and its application to MPEG-4 video codingK. Jinzenji, S. Okada, H. Watanabe, N. Kobayashi. 606-609 [doi]
- Design and implementation of an EPLD-based variable length coder for real time image compression applicationsS. Ramachandran, S. Srinivasan 0001. 607-610 [doi]
- Higher radix Kogge-Stone parallel prefix adder architecturesFrank K. Gurkayna, Yusuf Leblebicit, Laurent Chaouati, Patrik J. McGuinness. 609-612 [doi]
- Approximate process-parameter dependent symbolic calculation of harmonic distortion in log-domain: the lossy integrator case-studyEmmanuel M. Drakakis, Alison J. Payne. 609-612 [doi]
- A new approach of group-based VLC codec systemBai-Jue Shieh, Terng-Yin Hsu, Chen-Yi Lee. 609-612 [doi]
- On exact performances of adaptive Volterra filters: the finite alphabet caseH. Besbes, M. Jaidane, J. Ezzine. 610-613 [doi]
- Nonlinear function generation using oversampled ΣΔ-modulatorsOlaf Machul, Dirk Hammerschmidt, Dirk Weiler, Bedrich J. Hosticka. 611-614 [doi]
- A beam tracking algorithm for space-time Rake receiver with a new beamformerWenjie Wang, Bofeng Jiang, Qinye Yin. 613-616 [doi]
- M-CHECK: a multiple engine combinational equivalence checkerS. Reda, A. Wahba, A. Salem. 613-616 [doi]
- Optimizing the number of parallel channels and the stage resolution in time interleaved pipeline A/D convertersLauri Sumanen, Mikko Waltari, Kari Halonen. 613-616 [doi]
- Neural MCA for robust beamformingS. Fiori, F. Piazza. 614-617 [doi]
- A WLS algorithm for the design of low-delay quadrature mirror filter banksC. K. Goh, Y. C. Lim. 615-618 [doi]
- An interval method for global inequality-constraint optimization problemsLubomir Kolev, D. Penev. 617-620 [doi]
- Enhancing dynamic range in differential log-domain filters based on the two-filters approachRobert M. Fox. 617-620 [doi]
- A novel VLSI architecture for Lempel-Ziv based data compressionYeong-Kang Lai, Kuo-Chen Chen. 617-620 [doi]
- A method to reduce number of division operations for perspective texture warpingJian-Jun Fang, K. Moseler, S. Levi. 618-621 [doi]
- A programmable VLSI architecture for 2-D discrete wavelet transformChien-Yu Chen, Zhong-Lan Yang, Tu-Chih Wang, Liang-Gee Chen. 619-622 [doi]
- Cascaded ΣΔ ADC with adaptive interstage couplingXiaohong Sun, Kenneth R. Laker. 621-624 [doi]
- Multi-algorithm ASIP synthesis and power estimation for DSP applicationsJ.-G. Cousin, O. Sentieys, D. Chillet. 621-624 [doi]
- A fast CORDIC algorithm based on a novel angle recoding schemeJen-Chuan Chih, Sau-Gee Chen. 621-624 [doi]
- ∞ minimax filteringS. Gollamudi, Yih-Fang Huang. 622-625 [doi]
- On the analysis and design of second-order harmonic oscillatorsAntonio Buonomo, Alessandro Lo Schiavo. 623-626 [doi]
- The design and analysis of a RF CMOS bandpass filterYuyu Chang, John Choma Jr., J. Wills. 625-628 [doi]
- Self-organized edge detection for an image compressionHeeburm Ryu, Yoshikazu Miyanaga, Koji Tochinai. 625-628 [doi]
- Fast 32-bit digital multiplierKoomran Raahemifar, Mojid Ahmadi. 625-628 [doi]
- Interactive broadcast digital television. The OpenTV platform versus the MPEG-4 standard frameworkF. Bouilhaguet, J.-C. Dugourd, S. Boughoufalah, C. Havet. 626-629 [doi]
- Design of two-channel PR FIR filter banks with low system delayJ. S. Mao, Shing-Chow Chan, Ka-Leung Ho. 627-630 [doi]
- Evaluation of power consumption in adiabatic circuitsM. Alioto, G. Palumbo. 629-632 [doi]
- Minimum mean square error receive filters for carrierless amplitude and phase modulationJason Gao, Yee Hong Leung, Antonio Cantoni. 629-632 [doi]
- Design of a low-voltage, low-power, wide-tuning integrated oscillatorTing Yu K. Lin, Alison J. Payne. 629-632 [doi]
- Adaptive fault tolerant digital filters with single and multiple bit errors in floating-point arithmeticG. Leon, W. K. Jenkins. 630-633 [doi]
- A low-complexity computation scheme of discrete cosine transform and quantization with adaptation to block contentsChih-Chang Chen, Oscal T.-C. Chen. 631-634 [doi]
- The new CMOS 2 V low-power IF fully differential Rm-C bandpass amplifier for RF wireless receiversChung-Yu Wu, Yu Cheng, Jeng Gong. 633-636 [doi]
- Optimal layout of hexagonal minimum spanning trees in linear time [VLSI]Guo-Hui Lin, Guoliang Xue. 633-636 [doi]
- A new adder scheme with reduced P, G signal generations using redundant binary number systemKyung-Nam Han, Sang-Wook Han, Euisik Yoon. 633-636 [doi]
- Nonlinear blind separation using an RBF network modelYing Tan, Jun Wang. 634-637 [doi]
- A prototyping technique for large-scale RTD-CMOS circuitsMayukh Bhattacharya, Shriram Kulkarni, Alejandro Gonzalez, Pinaki Mazumder. 635-638 [doi]
- Real discrete Gabor expansion for finite and infinite sequencesLiang Tao, Hon Keung Kwan. 637-640 [doi]
- Design of a novel low-power 4th-order 1.7 GHz CMOS frequency synthesizer for DCS-1800Andreas Lehner, Robert Weigel, Dieter Sewald, Herbert Eichfeld, Ali Hajimiri. 637-640 [doi]
- Optimization techniques for maximum power-efficiency of deep sub-micron CMOS digital circuitsD. S. C. Kwok, M. Margala. 637-640 [doi]
- Probabilistic analysis of MPEG-4 error resilience tools in W-CDMA environmentsDoug-Young Suh, Hyun-Cheol Kim, Young Kwon Lim, Myoung Ho Lee. 638-641 [doi]
- A new method for the design of two-channel perfect-reconstruction linear-phase FIR filter banksRobert Bregovic, Tapio Saramäki. 639-642 [doi]
- Time borrowing in high-speed functional units using skew-tolerant domino circuitsGunok Jung, Victoria Perepelitsa, Gerald E. Sobelman. 641-644 [doi]
- A ray queueing and sorting design for real time ray castingMichael C. Doggett. 641-644 [doi]
- Low-power state assignment techniques for finite state machinesP. Bacchetta, L. Daldoss, D. Sciuto, C. Silvano. 641-644 [doi]
- Stable condition considering modeling error in the filtered-x LMS algorithmY. Kajikawa, J. Yabuki, Y. Nomura. 642-645 [doi]
- A study of a suboptimal VLSI architecture for joint source-channel trellis codingLuis Fernando González Pérez, Emmanuel Boutillon. 643-646 [doi]
- Switched-current biquad using differential, double-sampling, forward/backward difference integratorsMarkus Helfenstein, Jari P. Curty, George S. Moschytz. 645-648 [doi]
- m input structure for LV amplifierShouli Yan, Edgar Sanchez-Sinencio. 645-648 [doi]
- Performance of differentially detected π/4 DQPSK in the presence of IQ phase imbalanceMaxime Scarpa, Julia Vogel, John Stonick, Sayfe Kiaei. 645-648 [doi]
- VLSI implementation of GRBF (Gaussian radial basis function) networksI. C. Cevikhas, A. S. Ogrenci, G. Dundar, S. Balkur. 646-649 [doi]
- Impulse sensitivity functions of oscillatorsThomas Falk, Wolfgang Schwarz. 647-650 [doi]
- Synthesis of reliable networks in the presence of line failuresBlazej Sawionek, Jacek Wojciechowski, Jaroslaw Arabas. 649-652 [doi]
- Fault diagnosis in analog and mixed mode low testability systemJ. Starzyk, J. Pang. 649-652 [doi]
- A self-biased low voltage, low power, CMOS transconductor stageMichele Fedeli, Carla Vacchi. 649-652 [doi]
- Requirements and constraints in MPEG-4 binary shape decoder at main profileZ. He, J. Malla, M. Danielsen, S. Levi. 650-653 [doi]
- Cost-effective multiplication with enhanced adders for multimedia applicationsZhen Luo, Ruby B. Lee. 651-654 [doi]
- Low power/low voltage high speed CMOS differential track and latch comparator with rail-to-rail inputChristian Jesús B. Fayomi, Gordon W. Roberts, Mohamad Sawan. 653-656 [doi]
- 2 -sensitivityTakao Hinamoto, Takuya Inoue. 653-656 [doi]
- n+1 multiplication schemes for IDEAMasoud Bahrami, Babak Sadeghiyan. 653-656 [doi]
- A consideration on the blind channel estimation based on IIR type modelY. Nishikawa, T. Furukawa, T. Takahashi. 654-657 [doi]
- Feedforward linearisation applied to a direct carrier modulation transmitterMitchai Chongcheawchamnan, Mike J. Blewett, Ian D. Robertson. 655-658 [doi]
- Picoseconds measurement of internal waveforms in integrated circuits using sampling force probing. II. Applications, capabilities, and limitationsR. A. Said. 657-660 [doi]
- A high speed low power CMOS clock driver using charge recycling techniqueIlias Bouras, Yiannis Liaperdos, Angela Arapoyanni. 657-660 [doi]
- Deferred lighting: a computation-efficient approach for real-time 3-D graphicsBor-Sung Liang, Wen-Chang Yeh, Yuan-Chung Lee, Chein-Wei Jen. 657-660 [doi]
- A new k-groups neural networkJui-Cheng Yen. 658-661 [doi]
- A VLSI architecture for hierarchical mesh based motion compensation using scalable affine transformation coreWael M. Badawy, Guoqing Zhang, Michael Talley, Magdy A. Bayoumi. 659-662 [doi]
- A wideband carrier-recovery system for multilevel QAM signalsShahriar Mirabbasi, Saeed Gazor, Ken Martin. 661-664 [doi]
- Wideband digital correction of I and Q mismatch in quadrature radio receiversKong-Pang Pun, José E. Franca, Carlos Azeredo Leme. 661-664 [doi]
- A novel low-voltage operational transconductance amplifier and its applicationsXuguang Zhang, Brent J. Maundy, Ezz I. El-Masry, Ivars G. Finvers. 661-664 [doi]
- Embedded image coding using zeroblocks of subband/wavelet coefficients and context modelingShih-Ta Hsiang, J. W. Woods. 662-665 [doi]
- Synchronization phenomena in coupled system of RC transistor oscillatorsSeiichiro Moro, Tadashi Matsumoto. 663-666 [doi]
- A timing-driven pseudo-exhaustive testing of VLSI circuitsS.-C. Chang, J. C. Rau. 665-668 [doi]
- Power markets stability considering energy imbalanceWellington S. Mota, Fernando L. Alvarado. 665-668 [doi]
- A 1-GHz low-power transposition memory using new pulse-clocked D flip-flopsPo-Hui Yang, Jinn-Shyan Wang, Yi-Ming Wang. 665-668 [doi]
- Efficient computation schemes and bit-serial architectures for normalized LMS adaptive filtering in audio applicationsHsiang-Feng Chi. 666-669 [doi]
- Reduction of background computations in adaptive block-matching motion estimationVasily G. Moshnyaga, Kazuhito Nakasima. 667-670 [doi]
- Performance optimization for high-order continuous-time ΣΔ modulators with extra loop delayLouis Luh, John Choma Jr., Jeffrey Draper. 669-672 [doi]
- m, 1-volt CMOS opampFaramarz Bahmani, Seid M. Fakhraie, Ali Khakifirooz. 669-672 [doi]
- MUSIC and pencil-based sinusoidal estimation methods using fourth order cumulantsMohammed A. Hasan, Ali A. Hasan. 669-672 [doi]
- Statistical dynamics of associative memory for higher order neural networksS. Yatsuki, H. Miyajima. 670-673 [doi]
- Control of bifurcation in current-programmed DC/DC converters: a reexamination of slope compensationC. K. Tse, Yuk-Ming Lai. 671-674 [doi]
- VLSI implementation of a wide-band sonar receiverGodi Fischer, Alan J. Davis. 673-676 [doi]
- Development of an evaluation model for the design of fault-tolerant solid state mass memoryG. C. Cardarilli, P. Marinucci, A. Salsano. 673-676 [doi]
- Reduction of blocking artifacts by a modeled lowpass filter outputNam Ik Cho, Bong Gyun Roh, Sang Uk Lee. 673-676 [doi]
- Optimizing the MPEG-4 encoder-advanced diamond zonal searchA. M. Tourapis, O. C. Au, M. L. Liou, G. Shen, I. Ahmad. 674-677 [doi]
- An analogue approach to the design of motion estimators for digital video encodingAndreas Demosthenous, John Taylor, Geoff Morrison. 675-678 [doi]
- A charge-pump-controlled MOSFET-C single-amplifier biquadHanspeter Schmid, George S. Moschytz. 677-680 [doi]
- Vector delta-sigma modulation with integral shaping of hardware-mismatch errorsDan P. Scholnik, Jeffrey O. Coleman. 677-680 [doi]
- ADC offset identification and correction in DMT modemsMikael Karlsson Rudberg. 677-680 [doi]
- Current mode circuits for programmable neural networksK. Wawryn, A. Mazurek. 678-681 [doi]
- Collisions between two phase-inversion-waves in an array of oscillatorsMasayuki Yamauchi, Masahiro Wada, Yoshifumi Nishio, Akio Ushida. 679-682 [doi]
- Picoseconds measurement of internal waveforms in integrated circuits using sampling force probing. I. Principle and demonstrationR. A. Said. 681-684 [doi]
- VHDL-based behavioural description of pipeline ADCsEduardo J. Peralías, Antonio J. Acosta, Adoración Rueda, José L. Huertas. 681-684 [doi]
- A dependent switched capacitor A/D converter for Farey series approximationGousuke Izawa, Toshimichi Saito, Hiroyuki Torikai. 681-684 [doi]
- Interactive broadcast terminal system using MPEG-2 and MPEG-4Yongsuk Kim, Jin-Young Yang, Min-Sik Park, Sang-Woo Ahn, Chieteuk Ahn. 682-685 [doi]
- Motion estimation using on-line arithmeticChing-Long Su, Chein-Wei Jen. 683-686 [doi]
- Transformation methods for reducing sensitivities of current-mode CCII-based filtersSerdar Ozoguz, Cevdet Acar, Ali Toker. 685-688 [doi]
- A compact modular architecture for the realization of high-speed binary sorting engines based on rank orderingIlhan Hatirnaz, Frank K. Gürkaynak, Yusuf Leblebici. 685-688 [doi]
- A programmable processor for cryptographySukumar S. Raghuram, Chaitali Chakrabarti. 685-688 [doi]
- Prediction of the laser sheet bending using neural networkV. Dragos, V. Dan, R. Kovacevic. 686-689 [doi]
- Gradient sensitivity reduction in current mirrors with non-rectangular layout structuresMao-Feng Lan, Randall L. Geiger. 687-690 [doi]
- Digital correction of circuit imperfections in cascaded Σ-Δ modulators composed of 1st-order sectionsAlan J. Davis, Godi Fischer, Hans-Helge Albrecht, Jürgen Hess 0002. 689-692 [doi]
- Transparency-based hierarchical test generation for modular RTL designsY. Makris, J. Collins, A. Orailoglu, P. Vishakantaiah. 689-692 [doi]
- Median-based filters with prediction error processing for video restorationJamal K. Abbas, Marek Domanski. 689-692 [doi]
- A system-on-a-chip for MPEG-4 multimedia stream processing and communicationE. Juarez, M. Mattavelli, D. Mlynek. 690-693 [doi]
- Parallel, memory access schemes for H.263 encoderJarno K. Tanskanen, Tero Sihvo, Jarkko Niittylahti, Jarmo Takala, Reiner Creutzburg. 691-694 [doi]
- An algebraic principle in blind separation of single source signalJie Zhu, Xi-Ren Cao, Zhi Ding. 693-696 [doi]
- A 2048 complex point FFT architecture for digital audio broadcasting systemJun Rim Choi, Soo-Bok Park, Dong-Seok Han, Se-Ho Park. 693-696 [doi]
- Transitional filters based on the classical polynomial approximationsAurencio Sanczczak Farias, Sidnei Noceti Filho, Rui Seara. 693-696 [doi]
- Robust encoding by collective bursting in biologically plausible neural networksD. A. Blank, Albert Kern, R. Stoop. 694-697 [doi]
- Novel design technique for highly linear current mode amplifiers. Analysis, design, simulationsYuri Bruck, Michael Zelikson, Gennady Burdo. 695-698 [doi]
- On the hardware design for DES cipher in tamper resistant devices against differential fault analysisLih-Yang Wang, Chi-Sung Laih, Hang-Geng Tsai, Nern-Min Huang. 697-700 [doi]
- A unified high accuracy behavioral SPICE macromodel of operational amplifiers featuring the frequency, temperature and power supply influences and the Monte Carlo simulationAdrian Maxim, Danielle Andreu. 697-700 [doi]
- Design of a high-speed RSA encryption processor with built-in table for residue calculation of redundant binary numbersNobuhiro Tomabechi, Teruki Ito. 697-700 [doi]
- An efficient block-based interpreter for MPEG-4 structured audioG. Zoia, C. Alberti. 698-701 [doi]
- Zero-forcing equalizability of FIR and IIR multi-channel systems with and without perfect measurementsEr-Wei Bai, Zhi Ding. 699-701 [doi]
- Least-squares trilinear interpolation for digital video codingC. W. Dreveny, Leonard T. Bruton. 701-704 [doi]
- A new low-voltage charge pump circuit for PLLRobert C. Chang, Lung-Chih Kuo. 701-704 [doi]
- A design methodology for active-bootstrapped Miller-amplifiersOmid Oliaei. 701-704 [doi]
- A new board for CNN stereo vision algorithmM. Salerno, F. Sargeni, V. Bonaiuto, Sergio Taraglio, Andrea Zanela. 702-705 [doi]
- Near-carrier oscillator spectrum due to flicker and white noiseGleb V. Klimovitch. 703-706 [doi]
- VLSI design of Reed-Solomon decoder architecturesHanho Lee, Meng-Lin Yu, Leilei Song. 705-708 [doi]
- A model reduction procedure for high level canonical PWL functionsPedro Julián, Belén D'Amico, Alfredo C. Desages. 705-708 [doi]
- Unifying methodologies for high fault coverage concurrent and off-line test of digital filtersI. Bayraktaroglu, A. Orailoglu. 705-708 [doi]
- MPEG-4 AAC audio decoding on a 24-bit fixed-point dual-DSP architectureV. Mesarovic, N. D. Hemkumr, M. Dokic. 706-709 [doi]
- Properties of smoothing with time gatingMårten Sjöström. 707-710 [doi]
- Tunable ultralow voltage transconductance amplifier and GmC filterØivind Naess, Yngvar Berg. 709-712 [doi]
- Fade-in and fade-out detection in video sequences using histogramsW. A. C. Fernando, Cedric Nishan Canagararajah, David R. Bull. 709-712 [doi]
- Integrating data converters for picoampere currents from electrochemical transducersMadalina Breten, Torsten Lehmann, Erik Braun. 709-712 [doi]
- A multiplier-free fixed-task digital CNN array for video segmentation systemA. Paasio, J. Paakkulainen, J. Isoaho. 710-713 [doi]
- Data encryption algorithms using one-dimensional chaotic mapsMieczyslaw Jessa. 711-714 [doi]
- A simple high-speed low current comparatorHongchin Lin, Jie-Hau Huang, Shyh-Chyi Wong. 713-716 [doi]
- Integer-code DC fault dictionaryJerzy Rutkowski, Jan Machniewski. 713-716 [doi]
- A smooth and derivable large-signal model for microwave HEMT transistorsMarcelino Lázaro, Ignacio Santamaría, Carlos Pantaleón. 713-716 [doi]
- Subband stationarity analysis of speech signalsR. daS. Maia, F. G. V. Resende, S. L. Netto. 714-717 [doi]
- Contributions to the analysis of second order piecewise linear systems with chaotic oscillationsUbirajara F. Moreno, Pedro L. D. Peres, Ivanil S. Bonatti. 715-718 [doi]
- Analog CMOS current mode neural primitivesFrancesco Diotalevi, Maurizio Valle, Gian Marco Bo, Enrico Biglieri, Daniele D. Caviglia. 717-720 [doi]
- Multiple description motion coding algorithm for robust video transmissionChang-Su Kim, Sang Uk Lee. 717-720 [doi]
- A straightforward design of mismatch-shaped multi-bit ΔΣ D/A systemsZdzislaw Czarnul, K. Oda, Tetsuya Iida. 717-720 [doi]
- Defect detection in web inspection using fuzzy fusion of texture featuresS. H. Hajimowlana, R. Muscedere, G. A. Jullien, J. W. Roberts. 718-721 [doi]
- The successive occurrence of torus doublingMunehisa Sekikawa, Tetsuya Miyoshi, Naohiko Inaba. 719-722 [doi]
- A frame stream controller IPFernanda Gusmão de Lima, Marcelo Barcelos, Juergen Rochol, Sergio Bampi, Ricardo Reis. 721-724 [doi]
- Low power design of a multi-mode transceiverDimitrios Soudris, M. Perakis, X. Mizas, V. Mardiris, K. Katis, Chrissavgi Dre, A. E. Tzimas, E. G. Metaxakis, Grigorios Kalivas, Nikolaos D. Zervas, Spyros Theoharis, George Theodoridis, Adonios Thanailakis, Constantinos E. Goutis. 721-724 [doi]
- Novel pattern-based power estimation tool with accurate glitch modelingPasin Israsena, Steve Summerfield. 721-724 [doi]
- Simplified pitch detection algorithm of mixed speech signalsY. H. Kwon, D. J. Park, B. C. Ihm. 722-725 [doi]
- Non-average performance of chaos-based DS-CDMA: driving optimization towards exploitable mapsGianluca Mazzini, Riccardo Rovatti, Gianluca Setti. 723-726 [doi]
- An efficient design for one dimensional discrete cosine transform using parallel addersJiun-In Guo. 725-728 [doi]
- MOSFET-C sinusoidal oscillator with variable frequency and amplitudeJ. I. Osa, Alfonso Carlosena. 725-728 [doi]
- Spatial/temporal decimation on 3DPAC for very low bit rate video transmissionChia-Huang Lin, Kuei-Ann Wen. 725-728 [doi]
- CMOS analog neurofuzzy prototype based on ANFISO. Arellano-Cardenas, H. Molina-Lozano, J. Moreno-Cadenas, F. Gomez-Castaneda, L. Flores-Nava. 726-729 [doi]
- Chaotic behavior of 741 opamps subjected to EMI conveyed to power supply railsZsolt M. Kovacs-Vajna, Emilio Sardini, Nicolò Speciale. 727-730 [doi]
- A efficient placement and global routing algorithm for hierarchical FPGAsJing-Jou Tang, Ping-Tsung Wang. 729-732 [doi]
- CMOS outlier rejection circuitSpiridon Vlassis, Stilianos Siskos. 729-732 [doi]
- The optimization of GHz integrated CMOS quadrature VCO's based on a poly-phase filter loaded differential oscillatorM. Borremans, B. De Muer, M. Steyaert. 729-732 [doi]
- Minimum-variance phase prediction and frame interpolation algorithms for low bit rate sinusoidal speech codingS. Ahmadi, A. S. Spanias. 730-733 [doi]
- A double crisis-induced intermittency in a forced PLL equationWataru Ohno, Tetsuro Endo. 731-734 [doi]
- A novel two-port 6T CMOS SRAM cell structure for low-voltage VLSI SRAM with single-bit-line simultaneous read-and-write access (SBLSRWA) capabilityB. T. Wang, James B. Kuo. 733-736 [doi]
- Simplex minimisation for multiple-reference motion estimationMohammed Ebrahim Al-Mualla, Nishan Canagarajah, David R. Bull. 733-736 [doi]
- The effects of scaling on the performance of small-signal MOS amplifiers: a physics-based simulation studyC. Fiegna. 733-736 [doi]
- VHDL-AMS modeling of self-organizing neural systemsJ.-A. Lopez-Alcantud, T. Kazmierski. 734-737 [doi]
- Quantum computing: an introductionThomas Beth. 735-736 [doi]
- Designing a low-power (self-timed) router for a MIMD computerEric Senn, Bertrand Zavidovique. 737-740 [doi]
- Quantum computing and quantum complexity theoryUmesh Vazirani. 737-739 [doi]
- Optimization of sequential verification by history-based dynamic minimization of BDDsRolf Drechsler, Wolfgang Günther. 737-740 [doi]
- CMOS VCO-prescaler cell-based design for RF PLL frequency synthesizersA. Ahmed, K. Sharaf, H. Haddara, H. F. Ragai. 737-740 [doi]
- Blind separation for mixtures of sub-Gaussian and super-Gaussian sourcesB. C. Ihm, D. J. Park, Y. H. Kwon. 738-741 [doi]
- Methods of quantum error correctionMarkus Grassl. 740-743 [doi]
- The design and implementation of DCT/IDCT chip with novel architectureKuo-Hsing Cheng, Chih-Sheng Huang, Chun-Pin Lin. 741-744 [doi]
- Estimation of parameter fluctuations for robust operation in analogue circuits and systemsH. J. Kadim, D. M. Harvey. 741-744 [doi]
- A 480 μW 2 GHz ultra low power dual-modulus prescaler in 0.25 μm standard CMOSMarc Tiebout. 741-744 [doi]
- Subspace estimation by hierarchical neural PCA: analog/digital implementation constraintsA. Paraschiv-Ionescu, C. Jutten, G. Bouvier. 742-745 [doi]
- A CNOT-gate implementation for information transfer by the phonon busKai Pahlke, Lars Kroneberg, Wolfgang Mathis. 744-747 [doi]
- Application of dynamic power supply scaling in a low-energy ATM interfaceS. Henry Li, Charles A. Zukowski. 745-748 [doi]
- A virtual stereo approach to stereophonic acoustic echo cancellationS. Chiucchi, Francesco Piazza. 745-748 [doi]
- The extraction of transistor mismatch parameters: the CMOS current-steering D/A converter as a test structureA. Van den Bosch, M. Steyaert, W. Sansen. 745-748 [doi]
- Analytic limitations on sigma-delta modulator performanceJeffrey N. Harrison, Neil E. Weste. 746-749 [doi]
- A contention-free domino logic for scaled-down CMOS technologies with ultra low threshold voltagesMuhammad E. S. Elraba, Mohab H. Anis, Mohamed I. Elmasry. 748-751 [doi]
- A generic programmable arbiter with default master grantFrédéric Pétrot, Denis Hommais. 749-752 [doi]
- A novel mixed-mode adaptive equalization system for high-speed 2-level PAM signalsDerek H. S. Tam, Wai Tung Ng. 749-752 [doi]
- SVM method of estimating density, conditional probability, and conditional densityV. Vapnik. 749-752 [doi]
- A 70-MHz continuous-time CMOS band-pass ΣΔ modulator for GSM receiversIssac Hsu, Howard C. Luong. 750-753 [doi]
- 3L) [CMOS devices]Ramin Rafati, Sied Mehdi Fakhraie, Kenneth C. Smith. 752-755 [doi]
- An analog correlator for a WCDMA receiverMarko Neitola, Timo Rahkonen. 753-756 [doi]
- Prototype low power WTA circuits for programmable neural networksKrzysztof Wawryn, Bogdan Strzeszewski. 753-756 [doi]
- Large margin strategies in machine learningN. Cristianini. 753-756 [doi]
- On the effect of op-amp finite gain in delta-sigma modulatorsAdrian L. Leuciuc, Cristian Mitrea. 754-757 [doi]
- Parallel dynamic logic (PDL) with speed-enhanced skewed static (SSS) logicChulwoo Kim, Seong-Ook Jung, Kwang-Hyun Baek, Sung-Mo Kang. 756-759 [doi]
- Sparse approximation using least squares support vector machinesJ. A. K. Suykens, L. Lukas, J. Vandewalle. 757-760 [doi]
- On nD polynomial matrix factorizationsZhiping Lin, Jiang Qian Ying. 757-760 [doi]
- Effect of DC offset on performance of differentially detected π/4 DQPSKShengting Huang, John T. Stonick. 757-760 [doi]
- Data-dependent evaluating latched CMOS differential logic family for statistical power reductionBai-Sun Kong, Young-Hyun Jun. 760-763 [doi]
- Integrated 64-state parallel analog Viterbi decoderKai He, Gert Cauwenberghs. 761-764 [doi]
- Learning from examples with spatial-adaptive wavelet-based reproducing kernelsYi Yu, W. Lawton. 761-764 [doi]
- Efficient analysis of the stability of sigma-delta modulators using waveletsMartin Vogels, Georges Gielen. 764 [doi]
- Single input current-sensing differential logic (SCSDL)Roland Strandberg, Jiren Yuan. 764-767 [doi]
- On partially blind learning complexityJ. Ratsaby, S. S. Venkatesh. 765-768 [doi]
- A compact CMOS 2 V low-power direct-conversion quadrature modulator merged with quadrature voltage-controlled oscillator and RF amplifier for 1.9 GHz RF transmitter applicationsHong-Sing Kao, Chung-Yu Wu. 765-768 [doi]
- Repeater insertion in deep sub-micron CMOS: ramp-based analytical model and placement sensitivity analysisA. Nalamalpu, W. Burleson. 766-769 [doi]