Abstract is missing.
- A CMOS transconductor with 90 dB SFDR and low sensitivity to mismatchL. Acosta, Ramón González Carvajal, M. Jiménez, Jaime Ramírez-Angulo, Antonio J. López-Martín. [doi]
- High-frequency DC-DC conversion : fact or fictionTanay Karnik, Peter Hazucha, Gerhard Schrom, Fabrice Paillet, Donald S. Gardner. [doi]
- A novel intra-rate estimation method for H.264 rate controlXuan Jing, Lap-Pui Chau. [doi]
- Using self-organizing maps to control physical robots with omnidirectional drivesRalf Salomon, Hagen Burchardt, T. Schulz. [doi]
- A readout circuit for capacitive biosensors with integrated SAR A/D conversionC. P. L. van Vroonhoven, D. Rocha, M. J. Vellekoop, C. Nohammer. [doi]
- Decoders for low-density parity-check convolutional codes with large memoryStephen Bates, L. Gunthorpe, Ali Emre Pusane, Zhengang Chen, Kamil Sh. Zigangirov, Daniel J. Costello Jr.. [doi]
- Energy-efficient adaptive clocking dual edge sense-amplifier flip-flopYen-Ting Liu, Lih-Yih Chiou, Soon-Jyh Chang. [doi]
- A genetic algorithm approach for fractional delay FIR filtersSabbir U. Ahmad, Andreas Antoniou. [doi]
- Optimal shielding insertion for inductive noise avoidanceJin-Tai Yan, Kuen-Ming Lin, Yen-Hsiang Chen. [doi]
- Optimization for packet routing using chaotic dynamicsTakayuki Kimura, Tohru Ikeguchi. [doi]
- Data hiding for digital video with phase of motion vectorDing-Yu Fang, Long-Wen Chang. [doi]
- Fast evaluation of analog circuit structures by polytopal approximationsDaniel Mueller, Guido Stehr, Helmut E. Graeb, Ulf Schlichtmann. [doi]
- Relaxed tree search MIMO signal detection algorithm design and VLSI implementationSizhong Chen, Tong Zhang, M. Goel. [doi]
- A CMOS imager with focal plane compressionWalter D. Leon-Salas, Sina Balkir, Khalid Sayood, Michael W. Hoffman, Nathan Schemm. [doi]
- Process tolerant calibration circuit for PLL applications with BISTQuentin Diduck, John Liobe, Sadeka Ali, Martin Margala. [doi]
- Bifurcation theory of a class of perturbed mappingsBarry O Donnell, Paul F. Curran, Orla Feely. [doi]
- Messaging and spectrum sharing between ad-hoc cognitive radio networksJ. Sydor. [doi]
- A new current-mode incremental signaling scheme with applications to Gb/s parallel linksTao Wang, Fei Yuan. [doi]
- A CMOS distributed amplifier with current reuse optimizationMei-Fen Chou, Wen-An Tsou, R. H. Dunn, Hsiang-Lin Huang, Kuei-Ann Wen, Chun-Yen Chang. [doi]
- Hardware architecture and trade-offs for generic inversion of one-way functionsSourav Mukhopadhyay, Palash Sarkar. [doi]
- A sequence independent power-on-reset circuit for multi-voltage systemsQadeer Ahmad Khan, G. K. Siddhartha. [doi]
- Systematic design flow for dynamic data management in visual texture decoder of MPEG-4Alexandros Bartzas, Mercedes Peón, Stylianos Mamagkakis, David Atienza, Francky Catthoor, Dimitrios Soudris, M. Mendias. [doi]
- Design and implementation of a 1GHz CMOS resonator utilizing surface acoustic waveA. Nurashikin Nordin, Mona E. Zaghloul. [doi]
- Low-complexity technique for secure storage and sharing of biomedical imagesPramod Kumar Meher, Jagdish Chandra Patra, M. R. Meher. [doi]
- A clock recovery circuit for blind equalization multi-Gbps serial data linksJiawen Hu. [doi]
- Low-complexity hop timing synchronization in frequency hopping systemsMi-Kyung Oh, Byunghoo Jung, Dong-Jo Park. [doi]
- A novel concept for stateless random bit generators in cryptographic applicationsMarco Bucci, Luca Giancane, Raimondo Luzzi, Mario Varanonuovo, Alessandro Trifiletti. [doi]
- A portable specification of zero-overhead looping control hardware applied to embedded processorsNikolaos Kavvadias, Spiridon Nikolaidis. [doi]
- Floorplanning for 2.5-D system integration using multi-layer-BSG structureSheqin Dong, Shuyi Zheng, Xianlong Hong. [doi]
- Analysis and design of lumped-element quadrature couplers with lossy passive elementsD. Ozis, Jeyanandh Paramesh, David J. Allstot. [doi]
- A frequency domain based TEQ design for DSL systemsYuan-Pei Lin, Yu-Pin Lin, See-May Phoong. [doi]
- Approximately linear-phase recursive digital filters with variable magnitude characteristicsJuha Yli-Kaakinen, Tapio Saramäki. [doi]
- Minimization of total area in integrated active RC filtersK. Wada, Randall L. Geiger. [doi]
- A 1.8V, 10-bit, 40MS/s MOSFET-only pipeline analog-to-digital converterHamid Charkhkar, Alireza Asadi, R. Lotfi. [doi]
- Compact power-efficient CMOS exponential voltage-to-voltage converterCarlos Aristoteles De la Cruz-Blas, Antonio J. López-Martín. [doi]
- Peak-to-average power-ratio reduction for OFDM systems based on method of conditional probability and coordinate descent optimizationYajun Kou, Wu-Sheng Lu, Andreas Antoniou. [doi]
- Reencoder design for soft-decision decoding of an (255, 239) Reed-Solomon codeJun Ma, Alexander Vardy, Zhongfeng Wang. [doi]
- A novel pseudorandom binary sequence generator for keystream generationDavid M. Horan, Richard A. Guinee. [doi]
- Quickest change detection for health-care video surveillanceJi Tao, M. Turjo, Yap-Peng Tan. [doi]
- High efficiency cross-coupled doubler with no reversion lossFeng Su, Wing-Hung Ki, Chi-Ying Tsui. [doi]
- Characterization and design of oversampled linear phase filterbanks with rational oversampling ratioZhiming Xu, Anamitra Makur, Zhiping Lin. [doi]
- A new adaptive Kalman filter-based subspace tracking algorithm and its application to DOA estimationS. C. Chan, Z. G. Zhang, Y. Zhou. [doi]
- Adaptive timing for analysis of skew toleranceLei Wang, Shuo Wang. [doi]
- An interleaver implementation for the serially concatenated pulse-position modulation decoderMichael K. Cheng, Bruce E. Moision, Jon Hamkins, Michael A. Nakashima. [doi]
- One-cycle control of converters operating in DCMNicola Femia, Giovanni Petrone, Giovanni Spagnuolo, Massimo Vitelli. [doi]
- Implementation of space-efficient voltage-insensitive capacitances in integrated circuitsChunyan Wang. [doi]
- Timing optimization of interconnect by simultaneous net-ordering, wire sizing and spacingKonstantin Moiseev, Shmuel Wimer, Avinoam Kolodny. [doi]
- Unequal authenticity protection (UAP) for rate-distortion-optimized secure streaming of multimedia over wireless networksZhi Li, Qibin Sun, Yong Lian. [doi]
- Power system on a chip (PSoC)Chika O. Nwankpa, A. S. Deese, Qingyan Liu, Aaron St. Leger, J. Yakaski. [doi]
- Ultra-low power 90nm 6T SRAM cell for wireless sensor network applicationsD. Ho, Kris Iniewski, Soraya Kasnavi, A. Ivanov, S. Natarajan. [doi]
- Energy efficient design for subthreshold supply voltage operationDavid Blaauw, Bo Zhai. [doi]
- An effective pseudo-transient algorithm for finding DC operating points of nonlinear circuitsHong Yu, Yasuaki Inoue, Yuki Matsuya, Zhangcai Huang. [doi]
- Nonylphenol biodegradation kinetics estimation using neural networksR. Shaik, R. Ordonez, R. P. Ramachandran. [doi]
- Efficient dictionary design for multiscale recurrent pattern image codingNuno M. M. Rodrigues, Eduardo A. B. da Silva, Murilo B. de Carvalho, Sérgio M. M. de Faria, Vítor Manuel Mendes da Silva, F. Pinage. [doi]
- Synchronization and phase synthesis using PLL neural networksOmid Oliaei. [doi]
- Low power scheduling method using multiple supply voltagesKun-Lin Tsai, Ju-Yueh Lee, Shanq-Jang Ruan, Feipei Lai. [doi]
- Realisation of asymmetrical complex filters in log-domainMykhaylo A. Teplechuk, John I. Sewell. [doi]
- CMOS voltage-mode analog multiplierBoonchai Boonchu, Wanlop Surakampontorn. [doi]
- Pulse generator for UWB communication and radar applications with PPM and time hopping possibilitiesN. Deparis, C. Loyez, N. Rolland, P.-A. Rolland. [doi]
- Temperature and flow velocity control for quartz crystal microbalancesChristian Falconi, E. Zampetti, S. Pantalei, E. Martinelli, Corrado Di Natale, Arnaldo D Amico, V. Stornelli, G. Ferri. [doi]
- Per-survivor processing Viterbi decoder for Bluetooth applicationsS. Au, Shahriar Mirabbasi, Lutz H.-J. Lampe, Robert Schober. [doi]
- On the two-dimensional orthogonal drawing of series-parallel graphsSatoshi Tayu, Kumiko Nomura, Shuichi Ueno. [doi]
- On the topographic equivalence between voltage mode and current mode ranked order filters for array processorsJonne Poikonen, Ari Paasio. [doi]
- An optimization of bus interconnects pitch for low-power and reliable bus encoding schemeSatoshi Komatsu, Masahiro Fujita. [doi]
- A low-energy low-voltage asynchronous 8051 microcontroller coreKok-Leong Chang, Bah-Hwee Gwee. [doi]
- New matching methodology of low-noise amplifier with ESD protectionBo-Shih Huang, Ming-Dou Ker. [doi]
- Design considerations for digital circuits using organic thin film transistors on a flexible substrateQing Wu, Jingyi Zhang, Qinru Qiu. [doi]
- Ultra-low-power flash memory in standard 0.35µm CMOS for passive microwave RFID transpondersGiuseppe de Vita, Giuseppe Iannaccone. [doi]
- A novel technique for low-power D/A conversion based on PAPR reductionTheodoros Giannopoulos, Vassilis Paliouras. [doi]
- Design of IIR integrators using Newton-Cotes quadrature rule and fractional sample delay [doi]
- A 2.4GHz low power wireless transceiver analog front-end for endoscopy capsule systemBaoyong Chi, Jinke Yao, Shuguang Han, Xiang Xie, Guolin Li, Zhihua Wang. [doi]
- Quality-biased rate allocation for compound image coding with block classificationDong Liu, Wenpeng Ding, Yuwen He, Feng Wu. [doi]
- Retransmission-based error spreading for layered video streaming over wireless LANsHao Liu, Wenjun Zhang, Xiaokang Yang. [doi]
- New results on exhaustive search algorithm for motion estimation using adaptive partial distortion search and successive elimination algorithmMan-Yau Chiu, Wan-Chi Siu. [doi]
- Radio-triggered solar and RF power scavenging and management for ultra low power wireless medical applicationsKuan-Yu Lin, T. K. K. Tsang, M. Sawan, M. N. El-Gamal. [doi]
- Mismatch effect analyses in CMOS tapered buffersA. J. Aragao, João Navarro Jr., Wilhelmus A. M. Van Noije. [doi]
- Low-power implementation of FIR filters within an adaptive reconfigurable architectureEvangelos F. Stefatos, I. Bravos, Tughrul Arslan. [doi]
- Upfront intra-refresh decision for low-complexity wireless video telephonyY. J. Liang, K. El-Maleh, S. Manjunath. [doi]
- On the theory and design of a class of recombination nonuniform filter banks with low-delay FIR and IIR filtersS. S. Yin, S. C. Chan, X. M. Xie. [doi]
- Dynamic calibration of current-steering DACChao Su, Randall L. Geiger. [doi]
- A stream register file unit for reconfigurable processorsFabio Campi, Paolo Zoffoli, Claudio Mucci, Massimo Bocchi, Antonio Deledda, Mario de Dominicis, Arseni Vitkovski. [doi]
- The optimal MAC layer for low-power UWB is non-coordinatedRuben Merz, Alaeddine El Fawal, Jean-Yves Le Boudec, Bozidar Radunovic, Jörg Widmer. [doi]
- Power efficient rapid hardware development using CoDel and automated clock gatingNainesh Agarwal, Nikitas J. Dimopoulos. [doi]
- Exploiting circuit instability to achieve wideband linear amplificationPere Palà-Schönwälder, Jordi Bonet-Dalmau, F. Xavier Moncunill-Geniz, Francisco del Águìla López, M. Rosa Giralt-Mas. [doi]
- A high speed and high linearity OTA in 1-V power supply voltageTien-Yu Lo, Chung-Chih Hung. [doi]
- Designing synthetic biological networksLuonan Chen, Ruiqi Wang, Xiabo Zhou, S. Wong. [doi]
- Library of structural analog cell macromodels for design of continuous-time reconfigurable Delta Sigma modulatorsYing Wei, Alex Doboli. [doi]
- Optimal distance estimation for the spectral efficiency of an hybrid cellular DS/SFH CDMA systemP. Varzakas. [doi]
- A low-noise microsensor amplifier with automatic gain control systemJun-Hong Weng, Chong-Jng Yu, Ching-Yuan Yang, Peng-Chang Yang. [doi]
- An adaptive maximum power point tracker for maximising solar cell efficiency in wireless sensor nodesCesare Alippi, C. Galperti. [doi]
- Investigation of inductors for digital Si-CMOS technologiesR. Mukhopadhyay, S. W. Yoon, Y. Park, Chang-Ho Lee, S. Nuttinck, Joy Laskar. [doi]
- A 2.4-GHz auto-calibration frequency synthesizer with on-chip built-in-self-test solutionSadeka Ali, Margala Margala. [doi]
- A new VLSI structure for an improved near-lossless color image compression algorithm inside wireless endoscopy capsuleXiang Xie, Guolin Li, Zhihua Wang. [doi]
- A broadcast-based test scheme for reducing test size and application timeJiann-Chyi Rau, Jun-Yi Chang, Chien-Shiun Chen. [doi]
- A transform-domain G-PrOBE algorithmA. Natarajan, Venkatraman Atti, Andreas Spanias, Kostas Tsakalis, Leonidas D. Iasemidis. [doi]
- DSP engine design for LINC wireless transmitter systemsKai-Yuan Jheng, Yi-Chiuan Wang, An-Yeu Wu, Hen-Wai Tsao. [doi]
- A CMOS linear voltage/current dual-mode imagerZheng Yang, Viktor Gruev, Jan Van der Spiegel. [doi]
- Absolute stabilization of discrete-time systems with a sector bounded nonlinearity under control saturationsEugênio B. Castelan, Ubirajara F. Moreno, Edson R. de Pieri. [doi]
- Finite switching frequency effects in the sliding mode control of the double integrator systemZbigniew Galias. [doi]
- Error-resilience transcoding using content-aware intra-refresh based on profit tracingChih-Ming Chen, Yung-Chang Chen, Chia-Wen Lin. [doi]
- Integration of class DE inverter for on-chip DC-DC power suppliesTadashi Suetsugu, Marian K. Kazimierczuk. [doi]
- Musical instrument classification using non-negative matrix factorization algorithmsEmmanouil Benetos, Margarita Kotti, Constantine Kotropoulos. [doi]
- An efficient test vector compression technique based on block mergingAiman El-Maleh. [doi]
- Tunable word length architecture for low power wireless OFDM demodulatorShingo Yoshizawa, Yoshikazu Miyanaga. [doi]
- Application of frequency-response masking technique to the design of a novel modified-DFT filter bankNan Li, Behrouz Nowrouzian. [doi]
- Rate-distortion optimization for fast hierarchical B-picture transcodingHuifeng Shen, Xiaoyan Sun, Feng Wu, Shipeng Li. [doi]
- Distributed video coding using waveletXun Guo, Yan Lu, Feng Wu, Wen Gao. [doi]
- Quadrature mismatch shaping with a complex, tree structured DACStijn Reekmans, Jeroen De Maeyer, Pieter Rombouts, Ludo Weyten. [doi]
- A 2-GHz CMOS variable gain amplifier optimized for low noiseCameron T. Charles, David J. Allstot. [doi]
- New viewpoint of bit-serial/parallel normal basis multipliers using irreducible all-one polynomialZih-Heng Chen, Ming-Haw Jing, Jian-Hong Chen, Yaotsu Chang. [doi]
- A low power programmable PRBS generator and a clock multiplier unit for 10 Gbps serdes applicationsWei-Zen Chen, Guan-Sheng Huang. [doi]
- Randomized carrier PWM with exponential frequency mappingA. Carlosena, Wing-Yee Chu, B. Bakkaloglu, S. Kiaei. [doi]
- Low-power 6-bit flash ADC for high-speed data converters architecturesVincenzo Ferragina, Nicola Ghittori, Franco Maloberti. [doi]
- Disposable CMOS passive RFID transponder for patient monitoringWoochul Jeon, John Melngailis, Robert W. Newcomb. [doi]
- A 2-path bandpass sigma-delta modulator utilizing blue-noise path selectionEric C. Moule, Zeljko Ignjatovic. [doi]
- Integer linear programming method for spatial temporal mapping of the Viterbi decoderAppaya Devaraj Swaminathan, Nastooh Avessta. [doi]
- A new dual-field elliptic curve cryptography processorYongyi Wu, Xiaoyang Zeng. [doi]
- Architecture of a VLSI cellular processor array for synchronous/asynchronous image processingAlexey Lopich, Piotr Dudek. [doi]
- A novel electronic architecture used to support biomedical photo-acoustic imagingA. Maurudis, Fei Huang, D. Castillo, Puyun Guo, Shikui Yan, Quing Zhu. [doi]
- Wide-band CMOS low noise amplifier for applications in radio astronomyLeonid Belostotski, James W. Haslett, B. Veidt. [doi]
- Quadrature Van der Pol oscillators using second harmonic couplingIgor M. Filanovsky, Ahmed Allam, Luís Bica Oliveira, Jorge R. Fernandes. [doi]
- Delay uncertainty due to supply variations in static and dynamic full addersMassimo Alioto, Gaetano Palumbo. [doi]
- Realization of a CNN-driven cockroach-inspired robotPaolo Arena, Luigi Fortuna, Mattia Frasca, Luca Patané, M. Pavone. [doi]
- Amplitude detection inside CMOS LC oscillatorsPeter R. Kinget. [doi]
- Design exploration with an application-specific instruction-set processor for ELA deinterlacingMaria Mbaye, D. Lebel, Normand Bélanger, Yvon Savaria, Samuel Pierre. [doi]
- A 10µW digital signal processor with adaptive-SNR monitoring for a sub-1V digital hearing aidJerald Yoo, Sunyoung Kim, Namjun Cho, Seong-Jun Song, Hoi-Jun Yoo. [doi]
- Bio-inspired massively parallel architectures for nanotechnologiesBjørn Jager, Mario Porrmann, Ulrich Rückert. [doi]
- Performance improvement of the H.264/AVC deblocking filter using SIMD instructionsStephen Warrington, Hassan Shojania, Subramania Sudharsanan, Wai-Yip Chan. [doi]
- Compressed symmetric tables for accurate function approximation of reciprocalsJames E. Stine, Nitin Naresh. [doi]
- Experimental confirmation of n-scroll hyperchaotic attractorsSimin Yu, Jinhu Lu, Guanrong Chen. [doi]
- SiP integration of intelligent, adaptive, self-sustaining power management solutions for portable applicationsE. O. Torres, Min Chen, H. Pooya Forghani-zadeh, Vishal Gupta, Neeraj Keskar, L. A. Milner, Hsuan-I Pan, Gabriel A. Rincón-Mora. [doi]
- A multifeature voiced/unvoiced decision algorithm for noisy speechCelia Shahnaz, Wei-Ping Zhu, M. Omair Ahmad. [doi]
- Dependence of LC VCO oscillation frequency on bias currentTing Wu, Un-Ku Moon, Kartikeya Mayaram. [doi]
- High level spectral-based analysis of power consumption in DSPs systemsArindam Calomarde, Diego Mateo, Antonio Rubio. [doi]
- Supercritical stability in a sonar receiver circuitJonathan Tapson. [doi]
- Characterization of a current-mode bandgap circuit structure for high-precision reference applicationsHanqing Xing, Le Jin, Degang Chen, Randall L. Geiger. [doi]
- Optimum wire tapering for minimum power dissipation in RLC interconnectsMagdy A. El-Moursy, Eby G. Friedman. [doi]
- A minimum transmission power AM-MIMO systemZhiying Wang, Chen He. [doi]
- Inverting closed-loop amplifier architecture with reduced gain error and high input impedancePietro Monsurrò, Salvatore Pennisi, Giuseppe Scotti, Alessandro Trifiletti. [doi]
- The impact of 3-dimensional integration on the design of arithmetic unitsKiran Puttaswamy, Gabriel H. Loh. [doi]
- Minimum mean squared error time series classification using an echo state network prediction modelMark D. Skowronski, John G. Harris. [doi]
- A rail to rail, slew-boosted pre-charge bufferH. Dine, S. Chuang, Phillip E. Allen, Paul E. Hasler. [doi]
- Detection of a preseizure state in epilepsy: signal prediction by maximally weakly nonlinear networks?Christian Niederhöfer, Ronald Tetzlaff. [doi]
- 12-bit non-calibrating noise-immune redundant SAR ADC for system-on-a-chipA. Shrivastava. [doi]
- Rail-to-rail tunable CMOS V-I converterAntonio J. López-Martín, Alfonso Carlosena, Jaime Ramírez-Angulo, Ramón González Carvajal. [doi]
- Robust adaptive infinite impulse response notch filters: a novel state-space approachJunli Liang, Shijun Wang, Shuyuan Yang. [doi]
- A CMOS bandpass filter with wide-tuning range for wireless applicationsZhiqiang Gao, Mingyan Yu, Yizheng Ye, Jianguo Ma. [doi]
- A low power 1.1 MHz CMOS continuous-time delta-sigma modulator with active-passive loop filtersT. Song, S. Yan. [doi]
- A low power third order delta-sigma modulator for digital audio applicationsMohammad Ranjbar, G. R. Lahiji, Omid Oliaei. [doi]
- An approach for analysing and improving fault tolerance in radio architecturesTeijo Lehtonen, Pekka Rantala, P. Isomaki, Juha Plosila, Jouni Isoaho. [doi]
- A 0.5V fully differential OTA with local common feedbackXiao-Yong He, Kong-Pang Pun, Oliver Chiu-sing Choy, Cheong-fat Chan. [doi]
- IPC-driven energy reduction for low-power designXia Xiao Xin, Tay Teng Tiow. [doi]
- QFT control for DC-DC buck convertersC. Olalla, R. Leyva, Abdelali El Aroudi. [doi]
- Low-voltage floating-gate CMOS bufferErhan Ozalevli, Muhammad S. Qureshi, Paul E. Hasler. [doi]
- Power consumption of a Hamming distance search CAM using neuron MOS transistorsM. Fukuhara, M. Yoshida. [doi]
- Effective capacitance of RLC loads for estimating short-circuit powerGuoqing Chen, Eby G. Friedman. [doi]
- A 0.18µm CMOS 10Gb/s 1: 4 DEMUX using replica-bias circuits for optical receiverJu-Pyo Hong, Kyung-Soo Ha, Lee-Sup Kim. [doi]
- A new classification of neuron models for random inputs on bifurcation structuresRyosuke Hosaka, Tohru Ikeguchi, Yutaka Sakai, Shuji Yoshizawa. [doi]
- An improved frequency and phase synthesis architectureGonggui Xu, Shouli Yan. [doi]
- XML-based customization along the scalability axes of H.264/AVC scalable video codingDavy De Schrijver, Wesley De Neve, Koen De Wolf, Stijn Notebaert, Rik Van de Walle. [doi]
- Power systems as dynamic networksDavid J. Hill, Guanrong Chen. [doi]
- Improved k-best sphere decoding algorithms for MIMO systemsQingwei Li, Zhongfeng Wang. [doi]
- Efficient output transition time modeling in CMOS gates with ramp/exponential inputsMassimo Alioto, Gaetano Palumbo, Massimo Poli. [doi]
- A register controlled delay locked loop using a TDC and a new fine delay line schemeYong Shim, Youngkwon Jo, Soo Hwan Kim, Suki Kim, Kwanjun Cho. [doi]
- CMOS analog iterative decoders using margin propagation circuitsS. Chakrabartty. [doi]
- Compound noise analysis in digital circuits using blind source separationVivek Nigam, Masud H. Chowdhury, Roland Priemer. [doi]
- Observation of high-frequency analog/RF electrical circuit characteristics by on-chip thermal measurementsJosep Altet, D. Mateo, J. L. González, E. Aldrete-Vidrio. [doi]
- An energy-efficient ternary interconnection link for asynchronous systemsJean-Marc Philippe, E. Kinvi-Boh, Sébastien Pillement, Olivier Sentieys. [doi]
- A case-study on multimedia applications for the XiRisc reconfigurable processorClaudio Mucci, Massimo Bocchi, Mario Toma, Fabio Campi. [doi]
- Radial distribution power flow studies in a remotely distributed environmentMichael Kleinberg, Karen Miu, Chika O. Nwankpa. [doi]
- M-SVC (mixed-norm SVC) - a novel form of support vector classifierLeu-Shing Lan. [doi]
- A novel loss compensation technique for broadband CMOS distributed amplifiersKambiz K. Moez, Mohammad Ibrahim Elmasry. [doi]
- Digital post-correction of front-end track-and-hold circuits in ADCsPieter Harpe, Athon Zanikopoulos, Hans Hegt, Arthur H. M. van Roermund. [doi]
- A 30GHz 155Mbit/s self-calibrating direct transmitterXinping Huang, Zhiwen Zhu, Mario Caron. [doi]
- Gramian-preserving frequency transformation for linear continuous-time state-space systemsShunsuke Koshita, Masahide Abe, Masayuki Kawamata. [doi]
- Algorithmic Delta-Sigma-modulated FIR filterA. Olyaei, R. Genov. [doi]
- Linearity test for high resolution DACs using low-accuracy DDEM flash ADCsHanqing Xing, Degang Chen, Randall L. Geiger. [doi]
- In-scale motion aligned temporal filteringRuiqin Xiong, Jizheng Xu, Feng Wu, Shipeng Li. [doi]
- 2-level FIFO architecture design for switch fabrics in network-on-chipPo-Tsang Huang, Wei Hwang. [doi]
- Feedforward compensation technique for all digital phase locked loop based synthesizersWin Chaivipas, Akira Matsuzawa, Philipus Chandra Oh. [doi]
- Complete Kernel Fisher discriminant analysis of Gabor features with fractional power polynomial models for face recognitionJun-Bao Li, Jeng-Shyang Pan, Zhe-Ming Lu, Jung-Chou Harry Chang. [doi]
- An NLFSR-based stream cipherBerndt M. Gammel, Rainer Göttfert, O. Kniffler. [doi]
- Architecture design of area-efficient SRAM-based multi-symbol arithmetic encoder in H.264/AVCYu-Jen Chen, Chen-Han Tsai, Liang-Gee Chen. [doi]
- An eye detection technique for clock and data recovery applicationsJingcheng Zhuang, Qingjin Du, Tad A. Kwasniewski. [doi]
- High-Q CMOS LC pseudo switched-capacitor bandpass filter with center frequency tuningAhmed El Oualkadi, D. Cordeau, Jean-Marie Paillot. [doi]
- Frame-level data reuse for motion-compensated temporal filteringChing-Yeh Chen, Yi-Hau Chen, Chih-Chi Cheng, Liang-Gee Chen. [doi]
- Considerations on the control design of DC-link based inverters in grid-connected photovoltaic systemsCarlos Meza, Domingo Biel, J. J. Negroni, Francesc Guinjoan. [doi]
- Quaternionic formulation of the first regularity for four-band paraunitary filter banksM. Parfieniuk, Alexander A. Petrovsky. [doi]
- Average lengths of wire routing under M-architecture and X-architectureS. P. Shang, Xiaodong Hu, Tong Jing. [doi]
- Multi-band OFDM: a cognitive radio for UWBAnuj Batra, S. Lingam, Jaiganesh Balakrishnan. [doi]
- A compact direct digital frequency synthesis architectureAlistair McEwan, Steve Collins. [doi]
- A multistandard FFT processor for wireless system-on-chip implementationsRamesh Chidambaram, Rene van Leuken, Marc Quax, Ingolf Held, Jos Huisken. [doi]
- On-chip current flattening circuit with dynamic voltage scalingHaleh Vahedi, Radu Muresan, Stefano Gregori. [doi]
- A modified particle swarm optimization algorithm for adaptive filteringD. J. Krusienski, W. Kenneth Jenkins. [doi]
- ECG compression using multiscale recurrent patterns with period normalizationEddie B. L. Filho, Eduardo A. B. da Silva, Waldir S. S. Junior, Murilo B. de Carvalho. [doi]
- A one-shot projection method for interconnects with process variationsJun Tao, Xuan Zeng, Fan Yang, Yangfeng Su, Lihong Feng, Wei Cai, Dian Zhou, Charles Chiang. [doi]
- Three-loop temporal interpolation for error concealment of MDCM. Mat, Oscar C. Au, S.-H. Gary Chan, Liwei Giio, Zhiqin Liang. [doi]
- Robust super-exponential methods for blind deconvolution of MIMO-IIR systems with Gaussian noiseKiyotaka Kohno, Yujiro Inouye, Mitsuru Kawamoto. [doi]
- A threshold voltage variation cancellation technique for analogue peripheral circuits of a display array using poly-Si TFTsIlias Pappas, L. Nalpantidis, Vasilios Kalenteridis, Stilianos Siskos, A. A. Hatzopoulos, C. A. Dimitriadis. [doi]
- A 4MHz Gm-C filter with on-chip frequency automatic tuningJinke Yao, Baoyong Chi, Zhihua Wang. [doi]
- A high-speed computational method of fuzzy inference system for embedded systemsM. Nakagawa. [doi]
- A modeling platform for efficient characterization of phase-locked loop Delta Sigma frequency synthesizersT. Bourdi, A. Borjak, Izzet Kale. [doi]
- Index assignment design for three-description lattice vector quantizationMinglei Liu, Ce Zhu, Xiaolin Wu. [doi]
- Fast lossless multi-resolution motion estimation for scalable wavelet video codingYu Liu, King Ngi Ngan. [doi]
- A new approach to the computation of reduced order models for one-port and two-port RC circuitsFlorin Constantinescu, A. Gheorghe, C. D. Ioan, Miruna Nitescu, Mihai Iordache, Lucia Dumitriu. [doi]
- Method for design of analog group delay equalizersP. Ziska, J. Vrbata. [doi]
- Linear switched-capacitor circuit theoremsG. Efthivoulidis. [doi]
- The design of a multi-mode/multi-system capable software radio receiverGernot Hueber, Linus Maurer, Georg Strasser, Rainer Stuhlberger, Karim Chabrak, Richard Hagelauer. [doi]
- Coupling aware RLC-based clock routings for crosstalk minimizationChia-Chun Tsai, Jan-Ou Wu, Chien-Wen Kao, Trong-Yen Lee, Rong-Shue Hsiao. [doi]
- A low-power signal-recycling mixer and baseband amplifier with current reuseRanjit Gharpurey, Junghwan Han, S. Venkataraman. [doi]
- New compact and power efficient dynamically biased cascode mirrors and telescopic op-ampsJaime Ramírez-Angulo, Milind S. Sawant, Ramón González Carvajal, Antonio J. López-Martín. [doi]
- A high speed and energy efficient full adder design using complementary & level restoring carry logicJin-Fa Lin, Yin-Tsung Hwang, Ming-Hwa Sheu, Cheng-Che Ho. [doi]
- Design and evaluation of steganography for voice-over-IPChristian Krätzer, Jana Dittmann, Thomas Vogel, Reyk Hillert. [doi]
- A new low cost and reconfigurable RSA crypto-processorYongxin Ma, Xiaoyang Zeng, Min Wu, Chengshou Sun. [doi]
- Analysis of signal distortion due to third order nonlinearity in WCDMA receiversM. S. Khan, Naveen K. Yanduru. [doi]
- Power transfer networks at RF frequencies: new design procedures with implementation roadmapM. Sengul, J. Trabert, K. Blau, B. Siddik Yarman, M. Hein. [doi]
- Error concealment protection for loss resilient bitplane-coded video communicationsChih-Ming Fu, Wen-Liang Hwang, Chung-Lin Huang. [doi]
- High-precision, fast current source for large-area current-programmed a-Si flat panelsG. Reza Chaji, Arokia Nathan. [doi]
- An infinite-skew tolerant delay locked loopPavel Petkov, Jim Conder, Friedel Gerfers. [doi]
- High performance clock routing in X-architectureWeixiang Shen, Yici Cai, Jiang Hu, Xianlong Hong, Bing Lu. [doi]
- A 1.25-Gb/s digitally-controlled dual-loop clock and data recovery circuit with enhanced phase resolutionChang-Kyung Seong, Seung Woo Lee, Woo-Young Choi. [doi]
- A Kalman filter based on wavelet filter-bank and psychoacoustic modeling for speech enhancementYu Shao, Chip-Hong Chang. [doi]
- A portable all-digital pulsewidth control loop for SOC applicationsWei Wang, I-Chyn Wey, Chia-Tsun Wu, An-Yeu Wu. [doi]
- Flexible hardware architectures for curve-based cryptographyLejla Batina, Nele Mentens, Bart Preneel, Ingrid Verbauwhede. [doi]
- EM-based analytical model for estimation of worst-case crosstalk noiseH. J. Kadim, Lacina M. Coulibaly. [doi]
- A high-speed, low-complexity radix-2:::4::: FFT processor for MB-OFDM UWB systemsJeesung Lee, Hanho Lee, Sang-in Cho, Sangsung Choi. [doi]
- A family of PWM based sliding mode voltage controllers for basic DC-DC convertersSiew-Chong Tan, Y. M. Lai, C. K. Tse. [doi]
- A new algorithm for optimum bit loading with a general costM. Vemulapalli, Soura Dasgupta, Ashish Pandharipande. [doi]
- Movement recognition and strain lecture algorithm for fracture monitoring systemR. Morales-Ramos, J. Sosa, Juan A. Montiel-Nelson, A. Zwick, X. P. Nguyen. [doi]
- A reconfigurable, multi-gigahertz pulse shaping circuit based on distributed transversal filtersY. Zhu, J. D. Zuegel, J. R. Marciante, Hui Wu. [doi]
- Wavelet-based spatially adaptive method for despeckling SAR imagesMd. Imamul Hassan Bhuiyan, M. Omair Ahmad, M. N. S. Swamy. [doi]
- A time-based analog-to-digital converter using a multi-phase voltage controlled oscillatorJaewook Kim, SeongHwan Cho. [doi]
- A 10-bit pipeline A/D converter without timing signalsL. Picolli, Franco Maloberti, A. Rossini, Fausto Borghetti, Piero Malcovati, Andrea Baschirotto. [doi]
- Analysis of a circuit exhibiting ferroresonanceM. G. J. Lind, G. A. Dumont, W. G. Dunford. [doi]
- CMOS variable-gain wide-bandwidth CMFB-free differential current feedback amplifier for ultrasound diagnostic applicationsHio Leong Chao, Dongsheng Ma. [doi]
- High-rate quasi-cyclic LDPC codes for magnetic recording channel with low error floorHao Zhong, Tong Zhang, Erich F. Haratsch. [doi]
- Mixed-signal implementation of a nonlinear decoder for delta-sigma encoded streamHeather A. Wake, Daeik D. Kim, Martin A. Brooke. [doi]
- Neuronal ion-channel dynamics in siliconKai M. Hynna, Kwabena Boahen. [doi]
- Symbolic analysis and optimization of piezo-electromechanical systemsMassimo Panella, Maurizio Paschero, Fabio Massimo Frattale Mascioli. [doi]
- Performance analysis of the Bayesian beam former on the CDMA reverse channelF. Mandarino, R. Zelenovsky. [doi]
- Markovian level set for echocardiographic image segmentationJierong Cheng, Say Wei Foo. [doi]
- A new multi-valued static random access memory (MVSRAM) with hybrid circuit consisting of single-electron (SE) and MOSFETY. S. Yu, H. W. Kye, B. N. Song, S.-J. Kim, J.-B. Choi. [doi]
- Lifting-based lossless parallel image coding on discrete-time cellular neural networksHisashi Aomori, Tsuyoshi Otake, Nobuaki Takahashi, Mamoru Tanaka. [doi]
- Implications of ultra low-voltage devices on design techniques for controlling leakage in NanoCMOS circuitsAshutosh Chakraborty, Karthik Duraisami, Ashoka Visweswara Sathanur, Prassanna Sithambaram, Alberto Macii, Enrico Macii, Massimo Poncino. [doi]
- Design of IIR all-pass equalizers based on minimum of waveform distortionG. Molnar, M. Vucic. [doi]
- A high-speed Reed-Solomon decoder for correction of both errors and erasuresZhaohui Cai, Jianzhong Hao, Sumei Sun, Francois Poshin Chin. [doi]
- Architecture of a hypertransport tunnelAmi Castonguay, Yvon Savaria. [doi]
- Properties and modeling of ground structures for reducing substrate noise coupling in ICsSimon Kristiansson, Fredrik Ingvarson, Kjell O. Jeppson. [doi]
- Simulation of SOI transistor circuits through non-equilibrium initial condition analysis (NEICA)Emrah Acar, Peter Feldmann. [doi]
- Hybrid order detection algorithm for V-BLAST system employing adaptive modulationXingle Feng, Shihua Zhu, Pinyi Ren. [doi]
- A 1.5 V high folding rate current-mode folding amplifier for folding and interpolating ADCRo-Min Weng, Chi-Cheng Chao. [doi]
- Analog fault AC dictionary creation - the fuzzy set approachDamian Grzechca, Tomasz Golonek, Jerzy Rutkowski. [doi]
- CNN-based local motion estimation chip for image stabilization processingChin-Teng Lin, Shi-An Chen, Ying-Chang Cheng, Jen-Feng Chung. [doi]
- Routing algorithms: architecture driven rerouting enhancement for FPGAsTaraneh Taghavi, Soheil Ghiasi, Majid Sarrafzadeh. [doi]
- A WCDMA/HSDPA baseband processorChien-Jen Huang, Hsi-Pin Ma. [doi]
- VBR video delivery under constrained resources using motion-aware optimal frame selectionDayong Tao, Jianfei Cai. [doi]
- Low-power, implantable sensing system for signal detection from the central or peripheral nervous systemRavi S. Ananth, Edward K. Lee, Taihu Li, Anthony Lam. [doi]
- Analysis and VLSI architecture of update step in motion-compensated temporal filteringChih-Chi Cheng, Ching-Yeh Chen, Yi-Hau Chen, Liang-Gee Chen. [doi]
- Multi-scroll and hypercube attractors from Josephson junctionsMustak E. Yalcin, Johan A. K. Suykens, Joos Vandewalle. [doi]
- Video denoising using vector estimation of wavelet coefficientsNaixiang Lian, Vitali Zagorodnov, Yap-Peng Tan. [doi]
- A scalable fast mode decision algorithm for H.264Zhiping Lin, Hongtao Yu, Feng Pan. [doi]
- A CMOS instrumentation amplifier for wideband bioimpedance spectroscopy systemsYi-Qiang Zhao, Andreas Demosthenous, R. H. Bayford. [doi]
- A power-efficient 1.056 GS/s resolution-switchable 5-bit/6-bit flash ADC for UWB applicationsJun-Xia Ma, Sai-Weng Sin, Seng-Pan U., Rui Paulo Martins. [doi]
- A set-membership NLMS algorithm with time-varying error boundJuraci Ferreira Galdino, J. A. Apolinário Jr., Marcello L. R. de Campos. [doi]
- A/D and D/A converters by spike-interval modulation of simple spiking neuronsAya Tanaka, Hiroyuki Torikai, Toshimichi Saito. [doi]
- A 6-digit CMOS current-mode analog-to-quaternary converter with RSD error correction algorithmChi-Hong Chan, Cheong-fat Chan, Oliver Chiu-sing Choy, Kong-Pang Pun. [doi]
- Fast wavelet packet basis selection for block-partitioning image codingYongming Yang, Chao Xu. [doi]
- Sequential blind extraction of instantaneous mixtures with arbitrary rankSanqing Hu, Derong Liu, Jun Wang. [doi]
- A full-differential analog design of an indirect inverse control law based on neural networksS. Lesueur, Daniel Massicotte, P. Sicard. [doi]
- A very high performance address BUS encoderHadi Parandeh-Afshar, Ali Afzali-Kusha, Ali Khakifirooz. [doi]
- Self-sampled vernier delay line for built-in clock jitter measurementKuo-Hsing Cheng, Chan-Wei Huang, Shu-Yu Jiang. [doi]
- A new construction algorithm of visual crytography for gray level imagesYuan Tai Hsu, Long-Wen Chang. [doi]
- Techniques for robust energy efficient subthreshold domino CMOS circuitsBo Fu, Paul Ampadu. [doi]
- Power efficient sequential multiplication using pre-computationNima Honarmand, M. Reza Javaheri, Naser Sedaghati-Mokhtari, Ali Afzali-Kusha. [doi]
- A technique to suppress tail current flicker noise in CMOS LC VCOsSaeed Saeedi, Saeid Mehrmanesh, Armin Tajalli, Seyed Mojtaba Atarodi. [doi]
- A wideband analog multi-resolution spectrum sensing (MRSS) technique for cognitive radio (CR) systemsYoungsik Hur, Jongmin Park, W. Woo, Kyutae Lim, Chang-Ho Lee, Hyoungsoo Kim, Joy Laskar. [doi]
- A single CMOS chip for biocell trapping, levitation, detection and characterizationYehya H. Ghallab, Wael M. Badawy. [doi]
- Set-membership adaptive algorithms based on time-varying error bounds for DS-CDMA systemsRodrigo C. de Lamare, Paulo S. R. Diniz. [doi]
- Adaptive low-power bus encoding based on weighted code mappingAvnish R. Brahmbhatt, Jingyi Zhang, Qinru Qiu, Qing Wu. [doi]
- Silicon neurons that inhibit to synchronizeJohn V. Arthur, Kwabena Boahen. [doi]
- Dependency driven partitioning objects generation for hardware/software partitioningShengtian Sang, Xiaoming Li, Yizheng Ye. [doi]
- Low power and high performance clock delayed domino logic using saturated keeperA. Amirabadi, A. Chehelcheraghi, S. H. Rasouli, A. Seyedi, Ali Afzali-Kusha. [doi]
- Automatic speaker change detection with the Bayesian information criterion using MPEG-7 features and a fusion schemeMargarita Kotti, Emmanouil Benetos, Constantine Kotropoulos. [doi]
- Performance analysis of low complexity solutions for UWB low data rate impulse radioSamuel Dubouloz, Alberto Rabbachin, Sébastien de Rivaz, Benoît Denis, Laurent Ouvry. [doi]
- Techniques to address increased dimensionality of ASIC library designB. Agrawal, Jeffrey G. Hemmett, K. K. Moody, D. B. White. [doi]
- Digital background calibration of pipeline ADC with open-loop gain stageB. Tavassoli, Omid Shoaei. [doi]
- Reconfiguration of cascade Sigma Delta modulators for multistandard GSM/Bluetooth/UMTS/WLAN transceiversAlonso Morgado, Rocio del Río, José Manuel de la Rosa, F. Medeiro, Maria Belen Pérez-Verdú, Francisco V. Fernández, Ángel Rodríguez-Vázquez. [doi]
- Neurodynamic interface circuits for a multichannel, wireless sensor IC operating in saltwaterP. Samsukha, C. Chestek, S. L. Garverick. [doi]
- Fractional discrete-time chaotic mapHui Zhao, H. K. Kwan, Jubang Yu. [doi]
- Power system network topology identification with MLD transform and tabu searchH. Mori, S. Saito. [doi]
- Superpipelined reconfigurable hardware for DSPMitchell J. Myjak, José G. Delgado-Frias. [doi]
- Binary LNS-based naive Bayes hardware classifier for spam controlMuhammad N. Marsono, M. Watheq El-Kharashi, Fayez Gebali. [doi]
- An analog storage cell with 5e:::-:::/sec leakageMicah O Halloran, Rahul Sarpeshkar. [doi]
- Reduced resolution residual coding for H.264-based compression systemHui Cheng, Arkady Kopansky, Michael A. Isnardi. [doi]
- A binary-to-thermometer decoder with built-in redundancy for improved DAC yieldG. L. Radulov, Patrick J. Quinn, P. C. W. van Beek, J. A. Hegt, Arthur H. M. van Roermund. [doi]
- An algorithm for calculating correlation coefficients between Elmore interconnect delaysShuji Tsukiyama, Masahiko Tomita. [doi]
- Design of a practical scheme for ultra wideband communicationYiyin Wang, R. van Leuken, A.-J. van der Veen. [doi]
- Programmable FIR filter with adder-based computing engineYu-Ting Kuo, Tay-Jyi Lin, Yi Cho, Chih-Wei Liu, Chein-Wei Jen. [doi]
- Synchronization of mutually coupled LC-oscillatorsAhmed Allam, Igor M. Filanovsky, Luís Bica Oliveira, Jorge R. Fernandes. [doi]
- A 12-bit 300 MHz CMOS DAC for high-speed system applicationsWeining Ni, Xueyang Geng, Yin Shi, Foster F. Dai. [doi]
- Slew rate induced distortion in switched-resistor integratorsAmorn Jiraseree-amornkun, Apisak Worapishet, Eric A. M. Klumperink, Bram Nauta, Wanlop Surakampontorn. [doi]
- On the wavelet-based elimination of stimulus artifacts in click-evoked otoacoustic emissionsFilipe C. C. B. Diniz, Sergio L. Netto, Paulo M. T. de Oliveira, Márcio N. de Souza. [doi]
- A new structure for capacitor-mismatch-insensitive multiply-by-two amplificationHashem Zare-Hoseini, Omid Shoaei, Izzet Kale. [doi]
- Band connections in active cancellation circuits against digital substrate noiseH. Suzuki, K. Wada, Y. Tadokoro. [doi]
- Post-layout energy-delay analysis of parallel multipliersJinyao Zhang, Miodrag Vujkovic, David Wadkins, Carl Sechen. [doi]
- Improved refinement search for H.263 to H.264/AVC transcoding based on the minimum cost tendency searchChi-Wang Ho, Oscar C. Au, S.-H. Gary Chan, Hoi-Ming Wong, Shu-Kei Yip. [doi]
- Frequency-based object orientation and scaling determinationStelios Krinidis, Vassilios Chatzis. [doi]
- A stimulator output stage with capacitor reduction and failure-checking techniquesXiao Liu, Andreas Demosthenous, Nick Donaldson. [doi]
- Double-sampled cascaded sigma-delta modulator topologies for low oversampling ratiosMohammad Yavari, Omid Shoaei, Ángel Rodríguez-Vázquez. [doi]
- Design and performance analysis of DS-UWB rake receiverR. Chen Jr., Po-Lin Chiu, Hua-Lung Yang. [doi]
- On flash A/D-converters with low-precision comparatorsMatthias Frey, Hans-Andrea Loeliger. [doi]
- High performance circuit techniques for dynamic OR gatesB. Kheradmand-Boroujeni, Fatemeh Aezinia, Ali Afzali-Kusha. [doi]
- Poisson AER generator: inter-spike-intervals analysisAlejandro Linares-Barranco, D. Cascado, Gabriel Jiménez, Antón Civit, Matthias Oster, Bernabé Linares-Barranco. [doi]
- Grouped multiuser diversity in multiuser MIMO systems exploiting spatial multiplexingErlin Zeng, Shihua Zhu, Xuewen Liao. [doi]
- Fast encoding method for vector quantization based on sorting elements of codewords to adaptively constructing subvectorsZhibin Pan, Koji Kotani, Tadahiro Ohmi. [doi]
- Advances in semantic multimedia analysis for personalised content accessPaola Hobson, Yiannis Kompatsiaris. [doi]
- Low-latency, HDL-synthesizable dynamic clock frequency controller with self-referenced hybrid clockingRobert M. Senger, Eric D. Marsman, Gordy A. Carichner, Sundus Kubba, Michael S. McCorquodale, Richard B. Brown. [doi]
- Second order dynamic element matching technique for low oversampling delta sigma ADCA. K. Gupta, E. Sanchez-Sinencio, S. Karthikeyan, Wern Ming Koe, Yong-In Park. [doi]
- Self-latching operation limits for MOBILE circuitsJosé M. Quintana, Maria J. Avedillo, Héctor Pettenghi. [doi]
- Analysis of error control code use in ultra-low-power wireless sensor networksN. Sadeghi, Sheryl Howard, Soraya Kasnavi, Kris Iniewski, Vincent C. Gaudet, Christian Schlegel. [doi]
- New LC oscillator topology in CMOS 0.18µm technologyS. Vatti, C. Papavassiliou. [doi]
- A low-voltage CMOS LNA with multiple magnetic feedback for WLAN applicationsG. Vitzilaios, Yannis Papananos, G. Theodoratos, A. Vasilopoulos. [doi]
- A sensor system on chip for wireless microsystemsL. Wang, Nizamettin Aydin, A. Astaras, M. Ahmadian, P. A. Hammond, T. B. Tang, Erik A. Johannessen, Tughrul Arslan, S. P. Beaumont, B. W. Flynn, A. F. Murray, Jonathan M. Cooper, David R. S. Cumming. [doi]
- Assessment of probability density estimation methods: Parzen window and finite Gaussian mixturesCédric Archambeau, M. Valle, A. Assenza, Michel Verleysen. [doi]
- Belief propagation decoding for codes based on discretized chaotic mapsS. Kozic, M. Hasler. [doi]
- Weak inversion performance of CMOS and DCVSPG logic families in sub-300 mV rangeO. C. Akgun, Yusuf Leblebici. [doi]
- Lossless data compression core design for integrated space data and communication system-on-chipWai-Chi Fang. [doi]
- A novel 2-GHz band-pass delta modulator dedicated to wireless receiversAli Naderi, Mohamad Sawan, Yvon Savaria. [doi]
- Towards an optimised VLSI design algorithm for the constant matrix multiplication problemAndrew Kinane, Valentin Muresan, Noel E. O Connor. [doi]
- Electro-chemical multi-channel integrated neural interface technologiesJ. N. Y. Aziz, Roman Genov. [doi]
- Wide temperature spectrum low leakage dynamic circuit technique for sub-65nm CMOS technologiesVolkan Kursun, Zhiyu Liu. [doi]
- Exact BER of transmitter antenna selection/receiver-MRC over spatially correlated Nakagami-fading channelsBao-Yun Wang, Wei Xing Zheng. [doi]
- DCOS: cache embedded switch architecture for distributed shared memory multiprocessor SoCsDaewook Kim, Manho Kim, Gerald E. Sobelman. [doi]
- Performance-driven crosstalk elimination at post-compiler levelWu-An Kuo, Yi-Ling Chiang, TingTing Hwang, Allen C.-H. Wu. [doi]
- An open-source based DSP with enhanced multimedia-processing capacity for embedded applicationsSongping Mai, Kun Yang, Wenli Lan, Chun Zhang, Zhihua Wang. [doi]
- Performance and power analysis on asynchronous reading of binary arraysA. Dupret, Marius Vasiliu, Francis Devos. [doi]
- Experimental validation of the bifurcation analysis of a hysteresis oscillatorFederico Bizzarri, D. Stellardo, Marco Storace. [doi]
- A new Kalman filter-based power spectral density estimation for nonstationary pressure signalsZ. G. Zhang, W. Y. Lau, S. C. Chan. [doi]
- A widely tunable active RF filter topologyK. Allidina, Shahriar Mirabbasi. [doi]
- JPEG2000. Part 10. Volumetric data encodingPeter Schelkens, Adrian Munteanu, Alexis Tzannes, Christopher M. Brislawn. [doi]
- Power aware learning for class AB analogue VLSI neural networkS. S. Modi, P. R. Wilson, A. D. Brown. [doi]
- Higher order convergent algorithms with applications to polynomials and matricesM. A. Hasan. [doi]
- A reconfigurable fully-integrated 0.18µm CMOS feed forward equalizer IC for 10-Gb/sec backplane linksFranklin Bien, Youngsik Hur, Moonkyun Maeng, Hyoungsoo Kim, Edward Gebara, Joy Laskar. [doi]
- Investigating power system stability limitsE. Vournas, N. Sakellaridis, M. Karystianos, N. G. Maratos. [doi]
- Switched-capacitor based implantable low-power wireless microstimulating systemsMaysam Ghovanloo. [doi]
- The new improved pseudo fractional-N clock generator with 50 duty cycleShu-Chang Kuo, Tzu-Chien Hung, Wei-Bin Yang. [doi]
- Low power binary addition using carry increment addersJohannes Grad, James E. Stine. [doi]
- Texture segregation employing orientation-selective analog multi-chip vision systemKazuhiro Shimonomura, Tetsuya Yagi. [doi]
- A frequency estimation algorithm for ADPLL designs with two-cycle lock-in timeChia-Tsun Wu, Wei Wang, I-Chyn Wey, An-Yeu Wu. [doi]
- A character size optimization technique for throughput enhancement of character projection lithographyMakoto Sugihara, Taiga Takata, Kenta Nakamura, Ryoichi Inanami, Hiroaki Hayashi, Katsumi Kishimoto, Tetsuya Hasebe, Yukihiro Kawano, Yusuke Matsunaga, Kazuaki Murakami, Katsuya Okumura. [doi]
- A low-voltage, analog power-law function generatorGeorge Fikos, L. Nalpantidis, Stilianos Siskos. [doi]
- Transmit/receive beamformer design and power control in MIMO MC-CDMA systemsS. C. Chan, S. H. Zhao. [doi]
- Efficient fast interpolation architecture for soft-decision decoding of Reed-Solomon codesJun Ma, Alexander Vardy, Zhongfeng Wang. [doi]
- A bit-serial implementation of mode decision algorithm for AVC encodersPawel Garstecki, Adam Luczak, Marta Stepniewska. [doi]
- On optical CDMA MAC protocolsM. A. A. Mohamed, Hossam M. H. Shalaby, El-Sayed A. El-Badawy. [doi]
- A low-jitter frequency synthesizer with dynamic phase interpolation for high-speed EthernetLu Ping, Ye Fan, Ren Junyan. [doi]
- A fast state-space algorithm to estimate harmonic distortion in fully differential weakly nonlinear G::m::-C filtersZhaonian Zhang, Abdullah Celik, Paul Sotiriadis. [doi]
- A computer-aided-diagnosis of tonsillitis using tonsil size and colorP. Phensadsaeng, Pinit Kumhom, Kosin Chamnongthai. [doi]
- Algorithm and implementation of signed-binary recoding with asymmetric digit sets for elliptic curve cryptosystemsX. Ruan, R. Katti, D. Hinkemeyer. [doi]
- Sub-faults identification for collapsing in diagnosisRajsekhar Adapa, Spyros Tragoudas, Maria K. Michael. [doi]
- Integrated charge sensitive amplifier with pole-zero cancellation circuit for high ratesP. Grybos, M. Idzik, K. Swientek, P. Maj. [doi]
- DF-DICE: a scalable solution for soft error tolerant circuit designRiaz Naseer, Jeff Draper. [doi]
- CMOS image sensor with analog gamma correction using nonlinear single-slope ADCSeogheon Ham, Yonghee Lee, Wunki Jung, Seunghyun Lim, Kwisung Yoo, Youngcheol Chae, Jihyun Cho, Dongmyung Lee, Gunhee Han. [doi]
- An improved PDS calculation procedure for hybrid systemsM. Hellfeld, Jörg Krupar, Wolfgang M. Schwarz. [doi]
- Multiresolution-based texture adaptive motion detection for de-interlacingGwo Giun Lee, Drew Wei-Chi Su, He-Yuan Lin, Ming-Jiun Wang. [doi]
- High-voltage drive and I/O interfaces in a 0.35µm CMOS processR. Krenzke, Cang Ji, O. Salzmann. [doi]
- The design of multiplierless FIR filters with a minimum adder step and reduced hardware complexityDouglas L. Maskell, Jussipekka Leiwo, Jagdish Chandra Patra. [doi]
- A CMOS down-conversion micromixer for IEEE 802.11b WLAN transceiversBaoyong Chi, Bingxue Shi, Zhihua Wang. [doi]
- Concurrent bit-plane coding architecture for EBCOT in JPEG2000Jen-Shiun Chiang, Chang-Yo Hsieh, Jin-Chan Liu, Cheng-Chih Chien. [doi]
- The effect of D/A accuracy on the performance of digital predistortion for RF power amplifiersShanying Wu, S. F. Simon Hau, Y. M. Wong. [doi]
- On randomization of digital delta-sigma modulators with DC inputsMaciej Borkowski, Juha Kostamovaara. [doi]
- A new Kalman filter-based algorithm for adaptive coherence analysis of non-stationary multichannel time seriesZ. G. Zhang, S. C. Chan. [doi]
- Automatic video annotation based on co-adaptation and label correctionMeng Wang, Xian-Sheng Hua, Yan Song, Li-Rong Dai, Shipeng Li. [doi]
- Phase noise in dual inverter-based CMOS ring oscillatorsSohrab Samadian, Michael M. Green. [doi]
- Lower bounds for the MSE convergence of APAI. J. Umoh, Tokunbo Ogunfunmi. [doi]
- Network-on-chip quality-of-service through multiprotocol label switchingManho Kim, Daewook Kim, Gerald E. Sobelman. [doi]
- General model for delayed feedback and its application to transimpedance amplifier s bandwidth optimizationLuis Nero Alves, Luis Barbosa, E. A. L. Macedo, Rui L. Aguiar. [doi]
- Behavioral macromodeling of analog LSI implementation for automobile intake systemZhangcai Huang, Yasuaki Inoue, Quan Zhang, Yuehu Zhou, Long Xie, Harutoshi Ogai. [doi]
- A psychiatric patients tracking systemMing-Hua Tsai, Chieh-Ling Huang, Pau-Choo Chung, Yen-Kuang Yang, Yu-Chia Hsu, Shu-Ling Hsiao. [doi]
- Systematic design method for LC bandpass Sigma Delta modulators with feedback FIRDACsNicolas Beilleau, A. Kammoun, Hassan Aboushady. [doi]
- High-performance analog delays: surpassing Bessel-Thomson by Pade-approximated GaussiansS. M. Kashmiri, Sandro A. P. Haddad, Wouter A. Serdijn. [doi]
- A 372 ps 64-bit adder using fast pull-up logic in 0.18µm CMOSJooyoung Kim, Kangmin Lee, Hoi-Jun Yoo. [doi]
- Fine-grain thermal profiling and sensor insertion for FPGAsSomsubhra Mondal, Rajarshi Mukherjee, Seda Ogrenci Memik. [doi]
- Low power design of H.264 CAVLC decoderHeng-Yao Lin, Ying-Hong Lu, Bin-Da Liu, Jar-Ferr Yang. [doi]
- Analysis of scalable architecture for the embedded block coding in JPEG 2000Chun-Chia Chen, Yu-wei Chang, Hung-Chi Fang, Liang-Gee Chen. [doi]
- Fast video coding based on Gaussian model of DCT coefficientsHanli Wang, Sam Kwong, Chi-Wah Kok. [doi]
- A 19.5mW 1.5V 10-bit pipeline ADC for DVB-H systems in 0.35µm CMOSOlujide A. Adeniran, Andreas Demosthenous. [doi]
- Accurately weighting subbands in temporal wavelet transformTiantian Sun, Feng Wu, Wen Gao. [doi]
- Computing during supply voltage switching in DVS enabled real-time processorsChunjie Duan, Sunil P. Khatri. [doi]
- Relaxing RF component requirements in a Weaver architecture by learning and adapting to the environmentLessing Luu, Babak Daneshrad. [doi]
- Homodyne dual six-port network analyzer and associated calibration technique for millimeter wave measurementsK. Haddadi, D. Glay, T. Lasri. [doi]
- A compact low power mixed-signal equalizer for gigabit Ethernet applicationsSaeid Mehrmanesh, B. Eghbalkhah, Saeed Saeedi, Ali Afzali-Kusha, Seyed Mojtaba Atarodi. [doi]
- Statistical rate-distortion estimation for H.264/AVC codersYu-Kuang Tu, Jar-Ferr Yang, Ming-Ting Sun. [doi]
- Averaging method analysis of a new mutual synchronization method from living organismK. Shimizu, T. Endo, H. Tanaka. [doi]
- Realization of 2D FIR filters using generalized polyphase structure combined with singular-value decompositionWei-Ping Zhu, Chao Wu, M. N. S. Swamy. [doi]
- Evaluation of stride permutation networksTuomas Järvinen, Perttu Salmela, Konsta Punkka, Jarmo Takala. [doi]
- Low-power Q-enhancement for parallel LC tanksKenneth A. Townsend, James W. Haslett. [doi]
- Statistical analysis of a background correlation-based technique for full calibration of pipeline ADCsAntonio J. Ginés, Eduardo J. Peralías, Adoración Rueda. [doi]
- A novel methodology for designing high-performance and low-power FPGA interconnection targeting DSP applicationsKostas Siozios, Dimitrios Soudris, Adonios Thanailakis. [doi]
- A portable phonocardiographic fetal heart rate monitorJianfeng Chen, Koksoon Phua, Ying Song, Louis Shue. [doi]
- An analysis of matching in the Tau cell log-domain filterTara Julia Hamilton, Craig T. Jin, André van Schaik. [doi]
- Virtual self-timed blocks for systems-on-chipYuan Chen, Fei Xia, Alexandre Yakovlev. [doi]
- Interference severity in nerve cuff recordings due to muscle source relative proximityIasonas F. Triantis, Andreas Demosthenous. [doi]
- Information and image processing through bio-inspired oscillatory cellular nonlinear networksMichele Bonnin, Fernando Corinto, Pier Paolo Civalleri, Marco Gilli. [doi]
- LNA-antenna codesign for UWB systemsM. Pelissier, F. Demeestere, F. Hameau, D. Morche, C. Delaveaud. [doi]
- A flexible and efficient sharp filter bank architecture for variable bandwidth systemsJun Wei Lee, Yong Ching Lim, Sim Heng Ong. [doi]
- Asynchronous biphasic pulse signal coding and its CMOS realizationDu Chen, Yuan Li, Dongming Xu, John G. Harris, José Carlos Príncipe. [doi]
- On cDNA microarray spot localizationRastislav Lukac, Konstantinos N. Plataniotis, Bogdan Smolka. [doi]
- Efficient memory architecture for JPEG2000 entropy codecHiroki Sugano, Hiroshi Tsutsui, Takahiko Masuzaki, Takao Onoye, Hiroyuki Ochi, Yukihiro Nakamura. [doi]
- Low-voltage, low-power CMOS operation transconductance amplifier with rail-to-rail differential input rangeTrung-Kien Nguyen, Sang-Gug Lee. [doi]
- Low-power and low-latency cluster topology for local traffic NoCsM. Saneei, Ali Afzali-Kusha, Zainalabedin Navabi. [doi]
- Reducing computations in MPEG2 video decoderVasily G. Moshnyaga, Kenji Wakisaka. [doi]
- Adaptive combined bispectrum-filtering signal processing in radar systems with low SNRVladimir V. Lukin, A. Totsky, D. Fevralev, A. Roenko, Jaakko Astola, Karen O. Egiazarian. [doi]
- Estimation of current density distributions from EEG/MEG data by maximizing sparseness of spatial differenceW. Nakamura, S. Koyama, S. Kuriki, Y. Inouye. [doi]
- Parity-based on-line detection for a bit-parallel systolic dual-basis multiplier over GF(2:::m:::) [doi]
- A low-power 64-point FFT/IFFT design for IEEE 802.11a WLAN applicationChin-Teng Lin, Yuan-Chu Yu, Lan-Da Van. [doi]
- A free but efficient class AB two-stage operational amplifierJaime Ramírez-Angulo, Antonio J. López-Martín, Ramón González Carvajal, J. A. Galan. [doi]
- The effect of clock jitter on the DR of Sigma Delta modulatorsR. van Veldhoven, P. Nuijten, Paul T. M. van Zeijl. [doi]
- A low power merge cell processor for real-time spike sorting in implantable neural prosthesesM. D. Linderman, T. H. Meng. [doi]
- Fabrication of a thin film micro polarization arrayViktor Gruev, Kejia Wu, Jan Van der Spiegel, Nader Engheta. [doi]
- Design methodology for global resonant H-tree clock distribution networksJonathan Rosenfeld, Eby G. Friedman. [doi]
- Characterization of a metastability measurement systemAntonio Cantoni, Jacqueline Walker. [doi]
- JPEG2000 image coding system theory and applicationsAthanassios N. Skodras, Touradj Ebrahimi. [doi]
- A novel Fisher discriminant for biometrics recognition: 2DPCA plus 2DFLDR. M. Mutelo, Li Chin Khor, Wai Lok Woo, Satnam Singh Dlay. [doi]
- PLL-less clock multiplier with self-adjusting phase symmetryVolnei A. Pedroni, R. U. Pedroni. [doi]
- Debug support for embedded processor reuseAndrew B. T. Hopkins, Klaus D. McDonald-Maier. [doi]
- An arbitrary kernel convolution AER-transceiver chip for real-time image filteringRafael Serrano-Gotarredona, Teresa Serrano-Gotarredona, Antonio Acosta-Jimenez, Bernabé Linares-Barranco. [doi]
- Multi-object tracking VLSI architecture using image-scan based region growing and feature matchingK. Yamaoka, Takashi Morimoto, Hidekazu Adachi, K. Awane, Tetsushi Koide, Hans Jürgen Mattausch. [doi]
- Fast mesh-based motion estimation employing an embedded block modelAndy C. Yu, Heechan Park, Graham R. Martin. [doi]
- Hierarchical exploration and selection of transistor-topologies for analog circuit designXiaoying Wang, Lars Hedrich. [doi]
- Detecting filopodia with waveletsEvelyn Brannock, Michael Weeks, V. Rehder. [doi]
- CMOS mixer design with micromachined input matching circuits for wireless applicationsChun-Li Wu, Mona E. Zaghloul, Shumin Zhang. [doi]
- Adaptive projected subgradient method and its applications to robust signal processingIsao Yamada, Konstantinos Slavakis, Masahiro Yukawa, Renato L. G. Cavalcante. [doi]
- Address-event image sensor networkEugenio Culurciello, Andreas Savvides. [doi]
- A CFAR synchronization scheme for impulse based UWB receiverRui Cao, Yuanjin Zheng, Yong Lian. [doi]
- Adaptive multimedia access: from user needs to semantic personalisationA. Evans, Miriam Fernández, David Vallet, Pablo Castells. [doi]
- Algorithm and hardware architecture design for weighted prediction in H.264/MPEG-4 AVCChi-Sun Tang, Chen-Han Tsai, Shao-Yi Chien, Liang-Gee Chen. [doi]
- A direct-conversion mixer with DC-offset cancellation for IEEE 802.11a WLAN receiverOimins Xu, Xueqing Hu, Pens Gao, Jun Yan, Shi Yin, Foster F. Dai, Richard C. Jaeger. [doi]
- Evaluation of 3D-packing representations for scheduling of dynamically reconfigurable systemsYukihide Kohira, Chikaaki Kodama, Kunihiro Fujiyoshi, Atsushi Takahashi. [doi]
- Low-power multiplier with static decision for input manipulationM. Riazati, Ashkan Sobhani, M. Mottaghi-Dastjerdi, Ali Afzali-Kusha, Ali Khakifirooz. [doi]
- Practical review of advanced CDMA receivers with emphasis in the downlinkP. D. Papadimitriou. [doi]
- System on chip FPGA designs of a parameterized particle image velocimetry algorithmVirginie Fresse, Nathalie Bochard, Alain Aubert. [doi]
- A new bulk-driven input stage design for sub 1-volt CMOS op-ampsYasutaka Haga, Richard C. S. Morling, Izzet Kale. [doi]
- Congestion-driven W-shape multilevel full-chip routing frameworkHailong Yao, Yici Cai, Xianlong Hong. [doi]
- An automatic three-dimensional human behavior analysis system for video surveillance applicationsJenq-Neng Hwang, Ibrahim Karliga, Hsu-Yung Cheng. [doi]
- Designing image processing pipeline for color imaging systemsWen-Chung Kao, Sheng-Hong Wang, Wei-Hsin Che, Lien-Yang Chen, Sheng-Yuan Lin. [doi]
- PCI-AER interface for neuro-inspired spiking systemsRafael Paz-Vicente, Alejandro Linares-Barranco, D. Cascado, M. A. Rodriguez, Gabriel Jiménez, Antón Civit, José Luis Sevillano. [doi]
- Design techniques for low-voltage fully differential CMOS switched-capacitor amplifiersTsung-Sum Lee, Hua-Yuan Chung, Sheng-Min Cai. [doi]
- A new speech modeling method: SYMPESÜmit Güz, Hakan Gürkan, B. Siddik Yarman. [doi]
- Architecture design and VLSI hardware implementation of image encryption/decryption system using re-configurable 2D Von Neumann cellular automataRong-Jian Chen, Yi-Te Lai, Jui-Lin Lai. [doi]
- Design and implementation of content-adaptive background skipping for wireless videoYi Liang, Haohong Wang, Khaled El-Maleh. [doi]
- High-speed hardware architectures for authenticated encryption mode GCMA. Satoh. [doi]
- Bidirectional conversion to minimum signed-digit representationErik Backenius, Erik Sall, Oscar Gustafsson. [doi]
- Fault tolerant design of signed digit based FIR filtersGian-Carlo Cardarilli, Salvatore Pontarelli, Marco Re, Adelio Salsano. [doi]
- Modeling and verification of high-speed wired links with Verilog-AMSMing-Ta Hsieh, Gerald E. Sobelman. [doi]
- 20GHz bandwidth digitizer for single shot analysisHassan El Aabbaoui, B. Gorisse, N. Rolland, Aziz Benlarbi-Delai, J.-F. Lampin, P.-A. Rolland, V. Allouche, N. Fel, B. Riondet, P. Leclerc. [doi]
- AES as stream cipher on a small FPGATim Good, Mohammed Benaissa. [doi]
- Analog circuit synthesis using standard EDA toolsAndrei Vladimirescu, Radu Zlatanovici, Paul G. A. Jespers. [doi]
- On the equivalence and factorization of multivariate polynomial matricesZhiping Lin, M. S. Boudellioua, Li Xu. [doi]
- Impact of temperature fluctuations on circuit characteristics in 180nm and 65nm CMOS technologiesRanjith Kumar, Volkan Kursun. [doi]
- A neural recording system for monitoring shark behaviorWentai Liu, Mohanasankar Sivaprakasam, Gang Wang, Moo Sung Chae. [doi]
- A time-domain gradient-detection architecture for VLSI analog motion sensorsK. Ito, T. Shibata. [doi]
- Sine wave as a correlating signal for UWB radioTero Koivisto, Teemu Peltonen, Meigen Shen, Esa Tjukanoff, Ari Paasio. [doi]
- Effective tunneling capacitance: a new metric to quantify transient gate leakage currentElias Kougianos, Saraju P. Mohanty. [doi]
- UWB for low data rate applications: technology overview and regulatory aspectsP. Martigne. [doi]
- Locust-inspired vision system on chip architecture for collision detection in automotive applicationsL. Carranza, R. Laviana, S. Vargas, Jorge Cuadri, Gustavo Liñán, Elisenda Roca, Ángel Rodríguez-Vázquez. [doi]
- Memory-based crosstalk canceling CODECs for on-chip busesChunjie Duan, Kanupriya Gulati, Sunil P. Khatri. [doi]
- Leakage energy reduction techniques in deep submicron cache memories: a comparative studyFabio Frustaci, Pasquale Corsonello, Stefania Perri, Giuseppe Cocorullo. [doi]
- Symbolic analysis of bifurcations in planar variable structure systemsUbirajara F. Moreno, Eugênio B. Castelan, Edson R. de Pieri. [doi]
- A new integrated approach to the design of low-complexity FIR filtersFei Xu, Chip-Hong Chang, Ching-Chuen Jong. [doi]
- Blind correction of gain and timing mismatches for a two-channel time-interleaved analog-to-digital converter: experimental verificationMunkyo Seo, Mark J. W. Rodwell, Upamanyu Madhow. [doi]
- A novel approach to L::2::-sensitivity minimization of digital filters subject to L::2::-scaling constraintsShunsuke Yamaki, Masahide Abe, Masayuki Kawamata. [doi]
- Modeling the effects of BJT base currents on the dynamics of a log-domain filterAlon Ascoli, Orla Feely, Paul F. Curran. [doi]
- A CMOS monolithic implementation of a nonlinear interconnection module for a corticonic networkJie Yuan, Nabil Farhat, Jan Van der Spiegel. [doi]
- Enhancing power analysis attacks against cryptographic devicesMarco Bucci, Luca Giancane, Raimondo Luzzi, Giuseppe Scotti, Alessandro Trifiletti. [doi]
- An efficient texture cache for programmable vertex shadersSeunghyun Cho, Chang-Hyo Yu, Lee-Sup Kim. [doi]
- A novel technique integrating buffer insertion into timing driven placementLijuan Luo, Qiang Zhou, Yici Cai, Xianlong Hong, Yibo Wang. [doi]
- Is more redundancy better for on-chip bus encodingHsun-Chieh Yu, Rung-Bin Lin. [doi]
- Application of two-layered tabu search to optimal allocation of UPFC for maximizing transmission capabilityH. Mori, Y. Maeda. [doi]
- Mapping DSP applications on processor/coarse-grain reconfigurable array architecturesMichalis D. Galanis, Grigoris Dimitroulakos, Constantinos E. Goutis. [doi]
- High-speed pipelined DAC architecture using Gray codingSvante Signell, M. Uddin Shaber. [doi]
- Is there life after bit error rate or before?Anthony J. Lawrance. [doi]
- Robustness in binary cellular non-linear networks analog VLSI resonate-and-fire neuronK. Nakada. [doi]
- Increasing the power efficiency of Bloom filters for network string matchingIlhan Kaya, Taskin Koçak. [doi]
- Simulation of the nano electronic single-electron transistor and the nanoelectronic C-NOT single-electron gateGeorge T. Zardalidis. [doi]
- Design of a 1.2-V cascade continuous-time Delta Sigma modulator for broadband telecommunicationsR. Tortosa, José Manuel de la Rosa, Ángel Rodríguez-Vázquez, Francisco V. Fernández. [doi]
- Differential and geometric properties of Rayleigh quotients with applicationsM. A. Hasan. [doi]
- Scalable blind calibration of timing skew in high-resolution time-interleaved ADCsVijay Divi, Gregory W. Wornell. [doi]
- A 6.57 mW ZigBee transceiver for 868/915 MHz bandChua-Chin Wang, Jian-Ming Huang, Chih-Yi Chang, Kuang-Ting Cheng, Chih-Peng Li. [doi]
- A novel, coupling driven, low power bus coding technique for minimizing capacitive crosstalk in VLSI interconnectsK. S. Sainarayanan, J. V. R. Ravindra, M. B. Srinivas. [doi]
- A robust PRML read channel with digital timing recovery for multi-format optical discGunjae Koo, WooChul Jung, Heesub Lee. [doi]
- Switched pseudo floating-gate reconfigurable linear threshold elementsØivind Næss, Yngvar Berg. [doi]
- System for deposition and characterization of polypyrrole/gold bilayer hingesEdward Choi, Yingkai Liu, Elisabeth Smela, Andreas G. Andreou. [doi]
- Possible benefits of moderate inversion for MOSFET transconductorsPeter J. Langlois, Andreas Demosthenous. [doi]
- Nyquist criterion based design of continuous time Sigma Delta modulatorsJeroen De Maeyer, Pieter Rombouts, Ludo Weyten. [doi]
- Bit stream processing for Delta-Sigma FM-to-digital convertersFrancesco Cannillo, Chris Toumazou, Tor Sverre Lande. [doi]
- A new temperature-compensated CMOS bandgap reference circuit for portable applicationsHou-Ming Chen, Chih-Liang Huang, Robert C. Chang. [doi]
- Measuring harmonics by an improved FFT-based algorithm with considering frequency variationsG. W. Chang, Cheng-Yi Chen, Meng-Chi Wu. [doi]
- A CMOS front-end circuit for integrated fluxgate magnetic sensorsAndrea Baschirotto, Fausto Borghetti, Enrico Dallago, Piero Malcovati, M. Marchesi, Giuseppe Venchi. [doi]
- VLSI architecture for 4×4 16-QAM V-BLAST decoderF. Sobhanmanesh, Saeid Nooshabadi. [doi]
- A 3.0 V 72mW 10b 100 MSample/s Nyquist-rate CMOS pipelined ADC in 0.54 mm:::2:::Tae-Hwan Oh, Sang-Min Yoo, Kyoung-Ho Moon, Jae-Whui Kim. [doi]
- A 0.13µm CMOS delay cell for 40 Gb/s FFE equalizationT. Lovitt, Calvin Plett, John W. M. Rogers. [doi]
- An advanced emulated digital retina model on FPGA to implement a real-time test environmentZ. Nagy, Z. Voroshazi, Péter Szolgay. [doi]
- Mode refinement algorithm for H.264 intra frame requantizationDamien Lefol, David R. Bull, Cedric Nishan Canagarajah. [doi]
- One-dimensional interpolation based channel estimation for mobile DVB-H receptionI-Wei Lai, Tzi-Dar Chiueh. [doi]
- On the use of joint diagonalization in blind signal processingFabian J. Theis, Yujiro Inouye. [doi]
- Buffer planning based on block exchangingHongjie Bai, Sheqin Dong, Xianlong Hong, Song Chen. [doi]
- An FPGA implementation of the flexible triangle search algorithm for block based motion estimationMohamed Rehan, M. Watheq El-Kharashi, Pan Agathoklis, Fayez Gebali. [doi]
- Floorplan-aware decoupling capacitance budgeting on equivalent circuit modelJin-Tai Yan, Kai-Ping Lin, Yue-Fong Luo. [doi]
- A 5 GHz dual-mode WiMAX/WLAN direct-conversion receiverYijun Zhou, Chee Piew Yoong, Leong Siew Weng, Yin Jee Khoi, M. Chia Yan Wah, K. Ang Chai Moy, D. Wee Tue Fatt. [doi]
- Fast global motion estimation based on iteration least-square estimation with sustained symmetrical structureZhibo Chen, Zhengang Nie, Xiaodong Gu, Lihua Zhu, Charles Wang. [doi]
- Reduced-order H::infinity:: and H::2:: design of multirate filter banks using PDLF methodZhisheng Duan, Jingxin Zhang, Cishen Zhang, Edoardo Mosca. [doi]
- Efficient architecture for Reed Solomon block turbo codeErwan Piriou, Christophe Jégo, Patrick Adde, Raphaël Le Bidan, Michel Jézéquel. [doi]
- A single inductor multiple output converter with adaptive delta current mode controlA. Sharma, Y. S. Pavan. [doi]
- A novel low-voltage finite-gain compensation technique for high-speed reset- and switched-opamp circuitsSai-Weng Sin, Seng-Pan U., Rui Paulo Martins. [doi]
- An improved heuristic algorithm FEIDEQ for the maximum legal firing sequence problem of Petri netsS. Shimada, Satoshi Taoka, Masahiro Yamauchi, Toshimasa Watanabe. [doi]
- The wordlength determination problem of linear time invariant systems with multiple outputs - a geometric programming approachS. C. Chan, K. M. Tsui. [doi]
- Particle swarm localization of acoustic sources in the presence of reverberationRaffaele Parisi, P. Croene, Aurelio Uncini. [doi]
- Explicit characterization of bandgap referencesXin Dai, Degang Chen, Randall L. Geiger. [doi]
- Robust channel estimation and multiuser detection for MC-CDMA systems under narrowband interferenceH. Cheng, Z. G. Zhang, S. C. Chan. [doi]
- High SNR capacitive sensing transducerSheng-Yu Peng, Muhammad S. Qureshi, Paul E. Hasler, N. A. Hall, F. L. Degertekin. [doi]
- Assessment of parameter extraction methods for integrated inductor design and model validationAlkis A. Hatzopoulos, Stefanos Stefanou, Georges G. E. Gielen, Dominique Schreurs. [doi]
- Generalized lossless data hiding by multiple predictorsShu-Kei Yip, Oscar C. Au, Hoi-Ming Wong, Chi-Wang Ho. [doi]
- Stacked, standing wave detectors in 3D SOI-CMOSFrancisco Tejada, Andreas G. Andreou, Philippe O. Pouliquen. [doi]
- An unscented-transform-based filtering algorithm for noisy contaminated chaotic signalsJiuchao Feng, Shengli Xie. [doi]
- Spike response properties of an AER EARV. Chan, André van Schaik, Shih-Chii Liu. [doi]
- Scalable high-throughput architecture for H.264/AVC variable block size motion estimationStephen Warrington, Wai-Yip Chan, Subramania Sudharsanan. [doi]
- Combined image signal processing for CMOS image sensorsKimo Kim, In-Cheol Park. [doi]
- Implementation of H.264/AVC decoder for mobile video applicationsSuh Ho Lee, Jeong Hun Kim, Ji-Hwan Park, Seon Wook Kim, Suki Kim. [doi]
- Characterization of total chip leakage using inverse (reciprocal) gamma distributionEmrah Acar, Kanak Agarwal, Sani R. Nassif. [doi]
- Modelling and analysis of multicell converters using discrete time modelsAbdelali El Aroudi, Bruno Robert, Luis Martinez-Salamero. [doi]
- An investigation on the stability of n-D Lur e systemsRoisin Duignan, Paul F. Curran. [doi]
- Synchronization of multihop ad hoc networks using connected dominating setsPeter Rauschert, Arasch Honarbacht, Anton Kummert. [doi]
- ETHFB: a new class of even-length wavelet filters for Hilbert pair designDavid B. H. Tay. [doi]
- DDL-based calibration techniques for timing errors in current-steering DACsYongjian Tang, Hans Hegt, Arthur H. M. van Roermund. [doi]
- CRISP: coarse-grain reconfigurable image signal processor for digital still camerasJ. C. Chen, Chun-Fu Shen, Shao-Yi Chien. [doi]
- On the performance of TPC-based STBC coded MIMO-OFDM system over IMT2000 channelsYejun He, Guangxi Zhu. [doi]
- Fully programmable bias current generator with 24 bit resolution per biasTobi Delbrück, Patrick Lichtsteiner. [doi]
- Feature competition in a spike-based winner-take-all VLSI networkShih-Chii Liu, Matthias Oster. [doi]
- LUT-based MPGAs for fast turnaround time conversion flowFrancisco-Javier Veredas, Michael Scheppler, Bumei Zhai, Hans-Jörg Pfleiderer. [doi]
- 1-V ultra-low-power CMOS LC VCO for UHF quadrature signal generationZ. Wang, H. S. Savci, Numan Sadi Dogan. [doi]
- A single-chip audio system with delta-sigma DAC and class-D amplifierA. Yasuda, A. Ohkubo, K. Ogata, H. Ueno, T. Anzai, T. Kimura, K. Ochiai, T. Hamasaki. [doi]
- Image sensor with focal plane extraction of polarimetric informationViktor Gruev, Jan Van der Spiegel, Nader Engheta. [doi]
- Underdetermined sparse source separation of convolutive mixtures with observation vector clusteringShoko Araki, Hiroshi Sawada, Ryo Mukai, Shoji Makino. [doi]
- Theoretical and experimental analysis of Dickson charge pump output resistanceAlessandro Cabrini, L. Gobbi, Guido Torelli. [doi]
- JPEG-compliant image coding with adaptive pre-/post-filteringLijie Liu, Wei Dai, Trac D. Tran. [doi]
- A Gb/s one-fourth-rate CMOS CDR circuit without external reference clockS. Tontisirin, R. Tielert. [doi]
- Fixed-point configurable hardware components for adaptive filtersR. Rocher, Nicolas Hervé, Daniel Menard, Olivier Sentieys. [doi]
- State-space averaging of switched-inductor-cell for PWM dc-dc converters considering conduction losses in both operational modesAli Davoudi, Juri Jatskevich. [doi]
- Testing a polynomial for zeros inside the unit-circle over the ring of Gaussian integersYuval Bistritz. [doi]
- Electronically controllable biquads using single CDBASükrü Eser Oner, Muhammet Koksal, Mehmet Sagbas. [doi]
- Complexity scalable MPEG-2 to WMV transcoder with adaptive error compensationGuobin Shen, Yuwen He, Wanyong Cao, Shipeng Li. [doi]
- An asynchronous delta-sigma converter implementationDazhi Wei, Vaibhav Garg, John G. Harris. [doi]
- A FPGA implementation of an elliptic curve cryptosystemLouis Dupont, Sébastien Roy, Jean-Yves Chouinard. [doi]
- FPGA-based transmitter-receiver architecture of an overlapped FFH-CDMA system: design and simulationElie Inaty, Rafic A. Ayoubi. [doi]
- On the basins of attraction of parallel connected buck switching convertersYuehui Huang, C. K. Tse. [doi]
- Efficient low-power design and implementation of IQ-imbalance compensator using early terminationEdiz Çetin, Izzet Kale, Richard C. S. Morling. [doi]
- A fully-differential CMOS Clapp VCO for IEEE 802.11a applicationsS. Shekhar, Sankaran Aniruddhan, David J. Allstot. [doi]
- Distributed video coding with 3D recursive search block matchingWei-Jung Chien, Lina J. Karam, Glen P. Abousleman. [doi]
- Modeling of switched DC-DC converters by mixed s-z descriptionDalibor Biolek, Viera Biolkova, Josef Dobes. [doi]
- Analog circuit synthesis: a search for the Holy Grail?Sorin A. Huss. [doi]
- Development of protein chips based on self-assembled monolayer and protein ALing-Sheng Jang, Hao-Kai Keng, Yi-Chu Hsu, Deirdre R. Meldrum. [doi]
- A mathematical framework for active circuits based on port equivalence using limit variablesDavid G. Haigh, T. J. W. Clarke, Paul M. Radmore. [doi]
- Digital scheme for quantizer and integrator swing reduction in multibit sigma-delta modulatorWern Ming Koe, Franco Maloberti, J. Hochschild, S. Karthikeyan, Y.-K. Park. [doi]
- Effects of charge-based computation non-idealities on CMOS image compression sensorsZhiqiang Lin, Michael W. Hoffman, Walter D. Leon, Nathan Schemm, Sina Balkir. [doi]
- Efficient don t care computation for hierarchical designsKanupriya Gulati, M. Lovell, Sunil P. Khatri. [doi]
- Adding selective enhancement in scalable video coding for region-of-interest functionalityWen-Hsiao Peng, Tihao Chiang, Hsueh-Ming Hang. [doi]
- A low-power VLSI architecture for a shared-memory FFT processor with a mixed-radix algorithm and a simple memory control schemeShuenn-Yuh Lee, Chia-Chyang Chen, Shyh-Chyang Lee, Chih-Jen Cheng. [doi]
- Generating multi-scroll chaotic attractors via threshold controlJinhu Lu, K. Murali, Sudeshna Sinha, Henry Leung. [doi]
- On-chip high-speed solver of inverse problems based on quantum-computing principleM. Fujishima, M. Shimura. [doi]
- A 1.8V p(seudo)SRAM using standard 140nm DRAM technology with self adapting clocked standby operationT. Janik, E. Liau, H. Lorenz, M. Menke, E. Plaettner, J. Schweden, H. Seitz, E. Vega-Ordonez. [doi]
- A cooperative network of reconfigurable stair-climbing robotsJames Gaston, Kaamran Raahemifar, Peter Hiscocks. [doi]
- Improved frame and basic unit layers bit allocation scheme for H.264 video transmission over ARQ-based wireless channelsN. Srisawaivilai, Supavadee Aramvith. [doi]
- Approximation of elementary functions using a weighted sum of bit-productsKenny Johansson, Oscar Gustafsson, Lars Wanhammar. [doi]
- A CMOS fifth-order low-pass current-mode filter using a linear transconductorM. O. Shaker, Soliman A. Mahmoud, Ahmed M. Soliman. [doi]
- Process-insensitive modulated-clock voltage comparatorChristopher S. Taillefer, Gordon W. Roberts. [doi]
- Optical sensor integrated CNN for real-time computational applicationsKoray Karahaliloglu, Patrick Gans, Nathan Schemm, Sina Balkir. [doi]
- 2 GHz 1V sub-mW, fully integrated PLL for clock recovery applications using self-skewingAmr Elshazly, Khaled M. Sharaf. [doi]
- Spectrum filtering with FRM for robust speech recognitionNoboru Hayasaka, Yoshikazu Miyanaga. [doi]
- A new motion and disparity vector prediction technique for H.264 based stereoscopic video codingA. B. B. Adikari, Warnakulasuriya Anil Chandana Fernando, Hemantha Kodikara Arachchi. [doi]
- A new motion-compensated error concealment scheme for MPEG-4 video transmissionChing-Tung Hsu, Jin-Jang Leou. [doi]
- Signal amplification, detection and transmission in a wireless 100-electrode neural recording systemPaul T. Watkins, Ryan J. Kier, Robert O. Lovejoy, Daniel J. Black, Reid R. Harrison. [doi]
- A 1/4 rate linear phase detector for PLL-based CDR circuitsM. Saffari, Seyed Mojtaba Atarodi, Armin Tajalli. [doi]
- Statistical circuit performance variability minimization under manufacturing variationsAyhan A. Mutlu, Charles Kwong, Abir Mukherjee, Mahmud Rahman. [doi]
- A self-calibrated bandgap voltage reference with 0.5 ppm/°C temperature coefficientLe Jin, Hanqing Xing, Degang Chen, Randall L. Geiger. [doi]
- High-gain current amplifiers for low-power MOSFET-C filtersPhanumas Khumsat, Apisak Worapishet. [doi]
- Orthogonal convolutional modulation for UWB impulse radio communicationsLuca Reggiani, A. Tomasetta, Gian Mario Maggio. [doi]
- Two-layered neighborhood tabu search for multi-objective distribution network expansion planningH. Mori, Y. Yamada. [doi]
- An adaptive CUSUM-based test for signal change detectionCesare Alippi, Manuel Roveri. [doi]
- A low power battery management system for rechargeable wireless implantable electronicsPengfei Li, Rizwan Bashirullah, José Carlos Príncipe. [doi]
- An algorithm for optimal terms allocation for fixed point coefficients of FIR filterJ. Izydorczyk. [doi]
- Design of a CMOS low-voltage low-power circuit for an integrated pulsed ultrasonic distance measurement systemFelix Timischl, Takahiro Inoue, Akio Tsuneda. [doi]
- Compact implementation of linear weighted CMOS transconductance adder based on the flipped voltage followerIvan Padilla, Jaime Ramírez-Angulo, Ramón González Carvajal, Antonio J. López-Martín, Alfonso Carlosena. [doi]
- Dynamic control of spinal locomotion circuitsR. Jacob Vogelstein, Ralph Etienne-Cummings, Nitish V. Thakor, Avis H. Cohen. [doi]
- High throughput multitransform and multiparallelism IP for H.264/AVC video compression standardLuciano Volcan Agostini, Roger Endrigo Carvalho Porto, José Luís Güntzel, Ivan Saraiva Silva, Sergio Bampi. [doi]
- 1.8 V-100 MHz CMOS programmable gain amplifierBelén Calvo, Santiago Celma, Pedro A. Martínez, Maria Teresa Sanz. [doi]
- Effects of RF impairments in transmitter for the future beyond-3G communications systemsSanghyun Woo, Hyeongseok Yu, Jeakon Lee, Chang-Ho Lee, Joy Laskar. [doi]
- Short periodic orbits and topological entropy for the Chua s circuitZbigniew Galias. [doi]
- Color reproduction for digital imaging systemsWen-Chung Kao, Sheng-Hong Wang, Chih-Chung Kao, Chi-Wu Huang, Sheng-Yuan Lin. [doi]
- A novel ternary more, less and equality circuit using recharged semi-floating gate devicesHenning Gundersen, Yngvar Berg. [doi]
- A CMOS image sensor for low light applicationsHonghao Ji, Pamela Abshire. [doi]
- ASIC hardware implementation of the IDEA NXT encryption algorithmMarco Macchetti, Wenyu Chen. [doi]
- Fully integrated sub-microWatt CMOS ultra wideband pulse-based transmitter for wireless sensors networksT. K. K. Tsang, M. L. El-Cramal. [doi]
- Complicated superstable behavior in a piecewise constant circuit with impulsive switchingYusuke Matsuoka, Toshimichi Saito, Hiroyuki Torikai. [doi]
- Q locked loop to tune a high-Q high-frequency bandpass filterA. Kumar, P. E. Allen. [doi]
- 5.2 GHz self-powered lock and roll radio using VCO injection-locking and on-chip antennasP. Popplewell, V. Karam, Atef Shamim, John W. M. Rogers, M. Cloutier, Calvin Plett. [doi]
- Reconfigurable CMOS low noise amplifier for self compensationD. Kawazoe, Hirotaka Sugawara, Tatsuya Ito, Kenichi Okada, Kazuya Masu. [doi]
- A 1.8-Gb/s burst-mode clock and data recovery circuit with a 1/4-rate clock techniqueJun-Hong Weng, Meng-Ting Tsai, Jung-Mao Lin, Ching-Yuan Yang. [doi]
- A parallel search algorithm for CLNS addition optimizationPanagiotis D. Vouzis, Mark G. Arnold. [doi]
- A low-complexity ICI mitigation method for high-speed mobile OFDM systemsChao-Yuan Hsu, Wen-Rong Wu. [doi]
- Low-power mechanism with power block managementKuo-Chuan Chao, Kuan-Hung Chen, Yuan-Sun Chu, Jiun-In Guo. [doi]
- Spectral response improvement of CMOS APS pixel through lateral collectionS. U. Ay. [doi]
- Compressed domain content-based retrieval of MP3 audio example using quantization tree indexing and melody-line tracking methodTsung-Han Tsai, Yung-Tsung Wang, Jui Hong Hung, Chin-Long Wey. [doi]
- A versatile computation module for adaptable multimedia processorsYunan Xiang, R. Pettibon, Martin Margala. [doi]
- Low voltage 2-mW 6~10.6-GHz ultra-wideband CMOS mixer with active balunTa-Tao Hsu, Chien-Nan Kuo. [doi]
- Weighted Viterbi decoding for MIMO-OFDM systems with linear precodingLiang Zhou, Michiharu Nakamura. [doi]
- Gaussian chip shaping enhances the superiority of Markovian codes in DS/CDMA systemsYutaka Jitsumatsu, Tohru Kohda. [doi]
- Towards an H.264/AVC full encoder on chip: an efficient real-time VBSME ASIC chipMohammed Sayed, Ihab Amer, Wael M. Badawy. [doi]
- Design of a tunable fully differential GHz range Gm-C lowpass filter in 0.18µm CMOS for DS-CDMA UWB transceiversRajesh Thirugnanam, Dong Sam Ha, Bong-Hyuk Park, Sangsung Choi. [doi]
- Passive reduced-order macromodeling algorithm for structure dynamics in MEMS systemsRumi Zhang, Graham A. Jullien, Wei Wang, Anestis Dounavis. [doi]
- A generalized deterministic algorithm for blind channel identification with filter bank precodersBorching Su, P. P. Vaidyanathan. [doi]
- Energy circulation quadrature LC-VCOChih-Wei Yao, Alan N. Willson Jr.. [doi]
- Two-dimensional angle and polarization estimation using ESPRIT without pairingFangjiong Chen, Sam Kwong, Chi-Wah Kok. [doi]
- A flexible transform processor architecture for multi-CODECs (JPEG, MPEG-2, 4 and H.264)Ji-Hwan Park, Suh Ho Lee, Kyu-sam Lim, Jeong Hun Kim, Suki Kim. [doi]
- A congestion-driven buffer planner with space reservationHsin-Hsiung Huang, Yung-Ching Chen, Tsai-Ming Hsieh. [doi]
- Common-emitter feedback transimpedance amplifier for analog optical receiversAnthony Kopa, Alyssa B. Apsel. [doi]
- Encoder architecture with throughput over 10 Gbit/sec for quasi-cyclic LDPC codesZhiyong He, Sébastien Roy, Paul Fortier. [doi]
- Fast and low-power processor front-end with reduced rename logic circuit complexityRama Sangireddy. [doi]
- Use of granular method to design centeringBartlomiej Puchalski, Lukasz Zielinski, Jerzy Rutkowski. [doi]
- A 1.7mW all digital phase-locked loop with new gain generator and low power DCOTzu-Chiang Chao, Wei Hwang. [doi]
- Towards an ultra low power chemically inspired electronic beta cell for diabetesP. Georgiou, Christofer Toumazou. [doi]
- Integrated electrochemical neurosensorsTimothy D. Strong, Steven M. Martin, R. F. Franklin, Richard B. Brown. [doi]
- FPGA-based architecture for real-time IP video and image compressionDimitris Maroulis, Nikos Sgouros, Dionisis Chaikalis. [doi]
- Signal expression based on equivalence of time resolution and quantization levelK. Hayashi, T. Hisakado. [doi]
- An efficient algorithm for the computation of the reverse jacket transformSaad Bouguezel, M. Omair Ahmad, M. N. S. Swamy. [doi]
- A mixed analog/digital asynchronous processor for cortical computations in 3D SOI-CMOSJulius Georgiou, Andreas G. Andreou, Philippe O. Pouliquen. [doi]
- Set-membership affine projection algorithm with variable data-reuse factorStefan Werner, Paulo S. R. Diniz, Jose E. W. Moreira. [doi]
- Energy-aware optimal workload allocation among the battery-powered devices to maximize the co-operation life timeFeng Liu, Chi-Ying Tsui. [doi]
- A new watermarking system for joint ownership verificationGuofu Gui, Ling-ge Jiang, Chen He. [doi]
- An artificial synapse for interfacing to biological neuronsChristal Gordon, Amanda Preyer, Karolyn Babalola, Robert J. Butera, Paul E. Hasler. [doi]
- A universal common-source and common-drain model for 1-20GHz frequency rangeS. Sridharan, Sripriya R. Bandi, Clyde Washburn, Ponnathpur R. Mukund, Jan Kolnik, Ken Paradis, Steve Howard, Jeff Burleson. [doi]
- Sensor compensation using analogue-digital adaptive circuitsNicolás J. Medrano-Marqués, Guillermo Zatorre, Santiago Celma. [doi]
- Exploring carbon nanotubes and NiSi nanowires as on-chip interconnectionsChen Dong, S. Haruehanroengra, Wei Wang. [doi]
- A 0.9 V rail-to-rail constant g::m:: amplifier for implantable biomedical applicationsEdward K. Lee, Eusebiu Matei, Ravi S. Ananth. [doi]
- WL-VC SRAM: a low leakage memory circuit for deep sub-micron designG. Razavipour, A. Motamedi, Ali Afzali-Kusha. [doi]
- Silicon neurons that phase-lockJ. H. Wittig Jr., Kwabena Boahen. [doi]
- Bidirectional telemetry for implantable systemsJordi Sacristán, Fredy Segura, M. Teresa Osés. [doi]
- Dark current and noise of 100nm thick silicon on sapphire CMOS lateral PIN photodiodesMiriam Adlerstein Marwick, Francisco Tejada, Philippe O. Pouliquen, Eugenio Culurciello, Kim Strohbehn, Andreas G. Andreou. [doi]
- A high-speed, low-power interleaved trace-back memory for Viterbi decoderP. Israsena, I. Kale. [doi]
- Efficient computation of fixed polarity arithmetic expansions for ternary functionsBogdan J. Falkowski, Cicilia C. Lozano, Susanto Rahardja. [doi]
- Switch synchronizing delayed feedback control for piecewise linear systemsY. Toyosaki, Tetsushi Ueta, Takuji Kousaka. [doi]
- Limit cycles in bang-bang phase-locked loopsAlexey Teplinsky, Raymond Flynn, Orla Feely. [doi]
- A 0.5 V fully differential gate-input operational transconductance amplifier with intrinsic common-mode rejectionM. Abdulai, Peter R. Kinget. [doi]
- Programmable synaptic weights for an aVLSI network of spiking neuronsYingxue Wang, Shih-Chii Liu. [doi]
- Fine grained multi-threshold CMOS for enhanced leakage reductionHarmander Deogun, Dennis Sylvester, Kevin J. Nowka. [doi]
- A retina stimulator ASIC with 232 electrodes, custom ESD protection and active charge balancingMaurits Ortmanns, N. Unger, A. Rocke, M. Gehrke, H. J. Tiedtke. [doi]
- A pipelined dual-channel switched capacitor programmable gain amplifierMohammad A. Al-Shyoukh, A. Teutsch. [doi]
- A hardware efficient 3-bit second-order dynamic element matching circuit clocked at 300MHzE. N. Aghdam, Philippe Bénabès. [doi]
- On the regularity of orthonormal wavelets designed via the zero-pinning techniqueDavid B. H. Tay. [doi]
- A performance-aware IP core design for multimode transform coding using scalable-DA algorithmJia-Wei Chen, Kuan-Hung Chen, Jinn-Shyan Wang, Jiun-In Guo. [doi]
- A novel structure for the design of 2-1-1 cascaded continuous time delta sigma modulatorsHossein Shamsi, Omid Shoaei. [doi]
- Low complexity architecture design of MDCT-based psychoacoustic model for MPEG 2/4 AAC encoderTsung-Han Tsai, Jia-Her Luo, Shih-Way Huang, Sung-Che Li. [doi]
- A robust continuous-time multi-dithering technique for laser communications using adaptive opticsDimitrios N. Loizos, Paul-Peter Sotiriadis, Gert Cauwenberghs. [doi]
- Improved differential coefficients-based low power FIR filters. Part I. FundamentalsA. Prasad Vinod, Ankita Singla, Chip-Hong Chang. [doi]
- Probability updating-based adaptive hybrid coding (PUAHC)Shilin Xu, Guangxi Zhu, Li Yu, Chunhui Cui. [doi]
- Separate type switched-capacitor (SC) AC-DC converterS. Terada, Ichirou Oota, Kei Eguchi, Fumio Ueno. [doi]
- 100MHz, 6th order, leap-frog gm-C high Q bandpass filter and on-chip tuning schemeJames Moritz, Yichuang Sun. [doi]
- Active reversed nested Miller compensation for three-stage amplifiersA. D. Grasso, Gaetano Palumbo, Salvatore Pennisi. [doi]
- Static force measurement by piezoelectric sensorsS. Ozeri, D. Shmilovitz. [doi]
- Built-in self-test mode in a multi-path feedforward compensated operational amplifierMurari Kejariwal, Prasad Ammisetti, John Melanson. [doi]
- A high performance CAVLC encoder design for MPEG-4 AVC/H.264 video coding applicationsChih-Da Chien, Keng-Po Lu, Yi-Hung Shih, Jiun-In Guo. [doi]
- An architecture for best-basis algorithm using threshold cost function for imagesS. Mayilavelane Aroutchelvame, Kaamran Raahemifar. [doi]
- On-chip and inter-chip networks for modeling large-scale neural systemsStephen B. Furber, Steve Temple, A. Brown. [doi]
- A CMOS implementation of time-interleaved high-pass Delta Sigma modulatorVan Tam Nguyen, Patrick Loumeau, Jean-François Naviner. [doi]
- Two-dimensional channel rate allocation for SVC over error-prone channelYu Wang, Tao Fang, Lap-Pui Chau, Kim-Hui Yap. [doi]
- Low complexity block turbo equalizationJian-Hung Lin, Keshab K. Parhi. [doi]
- K-best MIMO detection VLSI architectures achieving up to 424 MbpsMarkus Wenk, M. Zellweger, Andreas Burg, Norbert Felber, Wolfgang Fichtner. [doi]
- A low-distortion fourth-order bandpass delta-sigma modulatorShu-Chuan Huang, Min-Hsiung Liao, Chih-Sheng Hsu. [doi]
- A neural network for convex optimizationP. T. Krasopoulos, N. G. Maratos. [doi]
- MIMO interconnects order reductions by using the global Arnoldi algorithmMing-Hong Lai, Chia-Chi Chu, Wu-Shiung Feng. [doi]
- Design and modeling of on-chip monolithic transformers with patterned ground shieldQ. El-Gharniti, Eric Kerherve, Jean-Baptiste Begueret. [doi]
- An innovative receiver architecture for autonomous detection of ultra-wideband signalsMajid Baghaei Nejad, Li-Rong Zheng. [doi]
- Nondestructive durian maturity determination by using microwave free space measurementThitipan Rutpralom, Kosin Chamnongthai, Pinit Kumhom, Monai Krairiksh. [doi]
- Application of fluid time hopping coding to multiple access in ultra wide band sensor networksGuerino Giancola, Daniele Domenicali, Maria-Gabriella Di Benedetto. [doi]
- High performance single clock cycle CMOS comparatorHing Mo Lam, Chi-Ying Tsui. [doi]
- Fast adaptive inter-prediction mode decision method for H.264 based on spatial correlationBin Feng, Guangxi Zhu, Wenyu Liu. [doi]
- Effect of mismatch on the reliability of binary-programmable CNNsMika Laiho, Ari Paasio, Victor M. Brea. [doi]
- Gain mismatch-balanced I/Q down-conversion mixer for UWBTuan-Anh Phan, Chang-Wan Kim, Sang-Gug Lee, T.-J. Park, E.-J. Kim. [doi]
- C-based design of a real time speech recognition systemTakashi Kambe, H. Matsuno, Y. Miyazaki, Akihisa Yamada. [doi]
- Load adaptive control scheme to improve converter efficiency and performanceJaber A. Abu-Qahouq, Lilly Huang. [doi]
- A design strategy for VHF filters with digital programmabilityAránzazu Otín, Santiago Celma, Concepción Aldea. [doi]
- Phase sampling: a new approach to the design of LF direct digital frequency synthesizersVolnei A. Pedroni. [doi]
- Distributed filter design on silicon CMOSThemistoklis Prodromakis, Christos Papavassiliou. [doi]
- Analytical synthesis of the digitally programmable voltage-mode OTA-C universal biquadChun-Ming Chang. [doi]
- Blind dereverberation using correlation coefficients considering periodicity of voiced speechT. Iida, Y. Nomura, Jianming Lu, Hiroo Sekiya, Takashi Yahagi. [doi]
- Unequal error protection for MIMO systems with a hybrid structureGuang-Hua Yang, Dongxu Shen, Victor O. K. Li. [doi]
- Scheduling and binding for low gate leakage nanoCMOS datapath circuit synthesisSaraju P. Mohanty, Elias Kougianos, Ramakrishna Velagapudi, Valmiki Mukherjee. [doi]
- A 110dB dynamic range continuous-time IF-to-baseband Sigma Delta modulator for AM/FM/IBOC receiversP. Silva, Kofi A. A. Makinwa, Johan H. Huijsing, Lucien Breems. [doi]
- Performance analysis of a correlation-based optical flow algorithm under noisy environmentsTeahyung Lee, David V. Anderson. [doi]
- Unit substation demand estimatorY. TenAmi, Dariusz Czarkowski, Zivan Zabar, H. Leeman. [doi]
- Analysis and design of MCML gates with hysteresisMassimo Alioto, Luca Pancioni, Santina Rocchi, Valerio Vignoli. [doi]
- Block precoder-based energy constrained DFERicardo Merched, I. S. Gadelha Figueiredo. [doi]
- Novel linearization technique for low-distortion high-swing CMOS switches with improved reliabilityAcacio Galhardo, João Goes, Nuno F. Paulino. [doi]
- A fully differential low noise amplifier with real-time channel hopping for ultra-wideband wireless applicationsSiu-Kei Tang, Kong-Pang Pun, Oliver Chiu-sing Choy, Cheong-fat Chan. [doi]
- A temperature compensated linear output RF amplifier with programmable gain controlNenad Stevanovic, Jesper Engvall, Christian Mueller, Juergen Oehm. [doi]
- Integrated low-ripple-voltage fast-response switched-capacitor power converter with interleaving regulation schemeMohankumar N. Somasundaram, Dongsheng Ma. [doi]
- An integrated patch-clamp amplifier in silicon-on-sapphire CMOSFarah Laiwalla, Kate Klemic, Fred J. Sigworth, Eugenio Culurciello. [doi]
- Basis picking for matching pursuits audio compressionDonald M. Monro. [doi]
- Reconstruction of two-periodic nonuniformly sampled signals using polynomial impulse response time-varying FIR filtersHåkan Johansson, Per Löwenborg, K. Vengattaramane. [doi]
- A new steady-state analysis method for RF-IC circuits driven by multi-tone signalsMihai Iordache, Lucia Dumitriu, Florin Constantinescu, Miruna Nitescu. [doi]
- Digital phase-shift modulation for an isolation buffer in silicon-on-sapphire CMOSEugenio Culurciello, Philippe O. Pouliquen, Andreas G. Andreou. [doi]
- A digitally calibrated R-2R ladder architecture for high performance digital-to-analog convertersD. S. Karadimas, D. N. Mavridis, K. A. Efstathiou. [doi]
- 1-99 input duty 50 output duty cycle correctorHong-Yi Huang, Chia-Ming Liang, Wei-Ming Chiu. [doi]
- Neuromimetic ICs and system for parameters extraction in biological neuron modelsSylvain Saïghi, Yannick Bornat, Jean Tomas, Sylvie Renaud. [doi]
- System aspects of a bionic eyeglassTamás Roska, Dávid Bálya, A. Lazar, K. Karacs, R. Wagner, M. Szuhaj. [doi]
- Validation of a statistical non-linear model of GaAs HEMT MMIC s by hypothesis testing and principal components analysisMarco Balsi, Francesco Centurelli, Piero Marietti, Giuseppe Scotti, P. Tommasino, Alessandro Trifiletti, G. Valente. [doi]
- A model-based hybrid evolutionary algorithm for fast yield-inclusive design space exploration of analog circuitsAbhishek Somani, P. P. Chakrabarti, Amit Patra. [doi]
- Enhanced spatial error concealment with directional entropy based interpolation switchingDimitris Agrafiotis, David R. Bull, Cedric Nishan Canagarajah. [doi]
- A time domain measurements procedure of piezoelectric transformers equivalent scheme parametersS. Ozeri, D. Shmilovitz. [doi]
- Optimal adaptive diagnosis with sparesT. Yamada, A. Koh. [doi]
- A zero-mask one-time programmable memory array for RFID applicationsR. Barsatan, Tsz Yin Man, Mansun Chan. [doi]
- A low-voltage operational amplifier with high slew-rate for sigma-delta modulatorsJoongho Choi, Jinup Lim, Cheng-Chew Lim. [doi]
- Analysis of power supply gain of CMOS bandgap referencesChristian Falconi, Gianluca Giustolisi. [doi]
- A vector quantizer classifier for blind signal to noise ratio estimation of speech signalsR. Ondusko, M. Marbacti, R. P. Ramachandran, L. M. Head, M. C. Huggins. [doi]
- Set-membership filtering strategies for multipulse codingDale Joachim, R. Salmon, John R. Deller Jr.. [doi]
- Effects of crosstalk noise on H-tree clock distribution networksItisha Chanodia, Dimitrios Velenis. [doi]
- Capacity analysis of adaptive multiuser frequency-time domain radio resource allocation in OFDMA systemsXing Zhang, Yirong Wang, Wenbo Wang. [doi]
- Zero-IF VGA with novel offset cancellationC. Yang, A. Mason. [doi]
- Design of half sample delay IIR filter using continued fraction expansionChien-Cheng Tseng. [doi]
- 3D integrated sensors in silicon-on-sapphire CMOSEugenio Culurciello, Andreas G. Andreou. [doi]
- A bit-serial approximate min-sum LDPC decoder and FPGA implementationAhmad Darabiha, Anthony Chan Carusone, Frank R. Kschischang. [doi]
- Analog circuit sizing with dynamic search windowF. Tomohiro, I. Osamu. [doi]
- A new method for matrix description of genetic algorithmsDomenico Porto. [doi]
- Application of genetic programming to edge detector designTomasz Golonek, Damian Grzechca, Jerzy Rutkowski. [doi]
- Via-programmable expanded universal logic gate in MCML for structured ASIC applications: circuit designElizabeth J. Brauer, Ilhan Hatirnaz, Stéphane Badel, Yusuf Leblebici. [doi]
- Power minimization of a 433-MHz LC VCO for an implantable neural recording systemRyan J. Kier, Reid R. Harrison. [doi]
- A broadband indirect-feedback power-to-current LNAXiaolong Li, Wouter A. Serdijn, B. E. M. Woestenburg, J. G. bij de Vaate. [doi]
- A blind identification technique for noisy ARMA systemsShaikh Anowarul Fattah, Wei-Ping Zhu, M. Omair Ahmad. [doi]
- A self-compensation fixed-width booth multiplier and its 128-point FFT applicationsHong-An Huang, Yen-Chin Liao, Hsie-Chia Chang. [doi]
- Asynchronous MMC based parallel SA schemes for multiobjective standard cell placementSadiq M. Sait, Ali Mustafa Zaidi, Mustafa I. Ali. [doi]
- An efficient regular matrix inversion circuit architecture for MIMO processingIsabelle LaRoche, Sébastien Roy. [doi]
- HOS based minimal transmit redundancy space-time FIR precoder-blind equalizerCarrson C. Fung, Man-Wai Kwan, Chi-Wah Kok. [doi]
- A novel fast algorithm for intra mode decision in H.264/AVC encodersJhing-Fa Wang, Jia-Ching Wang, Jang-Ting Chen, An-Chao Tsai, Anand Paul. [doi]
- Noise analysis of continuous-time Sigma Delta modulators with switched-capacitor feedback DACP. Silva, Kofi A. A. Makinwa, Johan H. Huijsing, Lucien Breems. [doi]
- Localized microarchitecture-level voltage managementYongKang Zhu, David H. Albonesi. [doi]
- Phase locked loop robustness improvement using non integer order loop filterVincent Lagareste, Franck Badets, Pierre Melchior, Jean-Baptiste Begueret, Yann Deval, Alain Oustaloup, Didier Belot. [doi]
- Low-voltage CMOS syllabic-companding log domain filterIppei Akita, Kazuyuki Wada, Yoshiaki Tadokoro. [doi]
- Design of a low power mixed-signal RAKE receiverPo-An Chen, Tzi-Dar Chiueh. [doi]
- A two-stage digital AGC scheme with diversity selection for frame-based OFDM systemsChi-Fang Li, R. J.-H. Cheng. [doi]
- Design of customized functional units for the VLIW-based multi-threading processor core targeted at multimedia applicationsJui-Chin Chu, Chih-Wen Huang, He-Chun Chen, Keng-Po Lu, Ming-Shuan Lee, Jiun-In Guo, Tien-Fu Chen. [doi]
- A nanowatt ADC for ultra low power applicationsKarim Abdelhalim, Leonard MacEachern, Samy A. Mahmoud. [doi]
- Chaos in delay locked loopPing-Ying Wang, C.-H. Chou, Hsueh-Wu Kao. [doi]
- A chaos-based pseudo random number generator using timing-based reseeding methodChung-Yi Li, Jiung-Sheng Chen, Tsin-Yuan Chang. [doi]
- A two-level hybrid select logic for wide-issue superscalar processorsJunwei Zhou, Andrew Mason. [doi]
- Scalable delta-sigma modulator readout architecture for array-based sensor systemDaeik D. Kim, Martin A. Brooke. [doi]
- A current copying structure for current-mode monotonic digital-to-analog convertersJosé Luis Merino, Lluís Terés, Jordi Carrabina. [doi]
- Hybrid silicon/silicone (polydimethylsiloxane) microsystem for cell cultureJennifer Blain Christen, Andreas G. Andreou. [doi]
- A high speed pipelined analog-to-digital converter using modified time-shifted correlated double sampling techniqueJin-Fu Lin, Soon-Jyh Chang. [doi]
- Recovery of compressed videos using forward and backward anisotropic diffusionSusu Yao, Weisi Lin, Ee Ping Ong, Zhongkang Lu. [doi]
- Microelectromechanical systems in 3D SOI-CMOS: sensing electronics embedded in mechanical structuresFrancisco Tejada, Andreas G. Andreou. [doi]
- A low-voltage adaptive switched-current SDM for bio-acquisition microsystemsChih-Jen Cheng, Shuenn-Yuh Lee. [doi]
- Low-complexity adaptive array for DS/CDMA code acquisitionHua-Lung Yang, Wen-Rong Wu. [doi]
- Sparse macromodels for parametric networksMin Ma, Alfred Tze-Mun Leung, Roni Khazaka. [doi]
- An aVLSI recurrent network of spiking neurons with reconfigurable and plastic synapsesDavide Badoni, Massimiliano Giulioni, Vittorio Dante, Paolo Del Giudice. [doi]
- Network-on-chip link analysis under power and performance constraintsManho Kim, Daewook Kim, Gerald E. Sobelman. [doi]
- A variable-offset phase detector for phased-array applicationsCameron T. Charles, David J. Allstot. [doi]
- MM11 based flash memory cell model including characterization procedureB. Saillet, A. Regnier, Jean Michel Portal, B. Delsuc, R. Laffont, Pascal Masson, Rachid Bouchakour. [doi]
- Complexity reduction for frequency-response masking filters using cyclotomic polynomial prefiltersK. Supramaniam, Yong Lian. [doi]
- Distributed evidence filtering: the recursive caseDuminda A. Dewasurendra, Peter H. Bauer, Kamal Premaratne. [doi]
- A multiobjective service restoration method for power distribution systemsJun Inagaki, J. Nakajima, Miki Haseyama. [doi]
- Bifurcation analysis of a second-order impact model for forest fire prediction through a 1D-mapFederico Bizzarri, L. Caruso, Marco Storace. [doi]
- Hybrid discretization in power converters digital controller designLiangbin Yao, Jaber A. Abu-Qahouq, Issa Batarseh. [doi]
- The emerging JPEG-2000 security (JPSEC) standardJohn G. Apostolopoulos, Susie Wee, Frédéric Dufaux, Touradj Ebrahimi, Qibin Sun, Zhishou Zhang. [doi]
- A biomimetic CMOS synapseE. Lazaridis, Emmanuel M. Drakakis, M. Barahona. [doi]
- Drive voltage optimization controller to improve efficiencyJaber A. Abu-Qahouq, Wisam Al-Hoor, Liangbin Yao, Issa Batarseh. [doi]
- FleXilicon: a reconfigurable architecture for multimedia and wireless communicationsJong Suk Lee, Dong Sam Ha. [doi]
- Modeling the effect of distortion on the phase noise in electrical oscillatorsMostafa Savadi Oskooei, N. Masoumi. [doi]
- Design and implementation of efficient Reed-Solomon decoders for multi-mode applicationsMing-Der Shieh, Yung-Kuei Lu, Shen-Ming Chung, Jun-Hong Chen. [doi]
- Efficient design of modified Booth multipliers for predetermined coefficientsYoung Eun Kim, J. O. Yoon, K. J. Cho, J. G. Chung, S. I. Cho, S. S. Choi. [doi]
- A transformer-based low phase noise and widely tuned CMOS quadrature VCOYoung Jae Lee, Hyun-Kyu Yu. [doi]
- High-speed image processing with AER-based componentsRafael Serrano-Gotarredona, Bernabé Linares-Barranco, Teresa Serrano-Gotarredona, Antonio Acosta-Jimenez, Alejandro Linares-Barranco, Rafael Paz-Vicente, Francisco Gomez-Rodriguez. [doi]
- Design of a MIMO-OFDM baseband receiver for next-generation wireless LANZih-Yin Ding, Chi-Yun Chen, Tzi-Dar Chiueh. [doi]
- A simplicial CNN visual processor in 3D SOI-CMOSPablo Sergio Mandolesi, Pedro Julián, Andreas G. Andreou. [doi]
- Fully reconfigurable active-Gm-RC biquadratic cells for software defined radio applicationsV. Giannini, Jan Craninckx, J. Compiet, Boris Come, Stefano D Amico, Andrea Baschirotto. [doi]
- Adaptive exposure control and real-time image fusion for surveillance systemsWen-Chung Kao, Chien-Chih Hsu, Chih-Chung Kao, Shou-Hung Chen. [doi]
- Charge-pump reducing current mismatch in DLLs and PLLsKyung-Soo Ha, Lee-Sup Kim. [doi]
- Performance and power aware buffered tree constructionYibo Wang, Yici Cai, Xianlong Hong. [doi]
- Competing and accommodating behaviors of peace SOMHaruna Matsushita, Yoshifumi Nishio. [doi]
- Digital background calibration of interstage-gain and capacitor-mismatch errors in pipelined ADCsMohammad Taherzadeh-Sani, Anas A. Hamoui. [doi]
- An all CMOS 743 MHz variable gain amplifier for UWB systemsQuoc-Hoang Duong, T.-J. Park, E.-J. Kim, Sang-Gug Lee. [doi]
- An adaptive search algorithm based on block classification for fast block motion estimationMeng-chou Chang, Jung-shan Chien. [doi]
- Linearly precoded ST-OFDM systems in the presence of ISIYuan-Hwui Chung, See-May Phoong. [doi]
- AER tools for communications and debuggingFrancisco Gomez-Rodriguez, R. Paz, Alejandro Linares-Barranco, Manuel Rivas, Lourdes Miro-Amarante, S. Vicente, Gabriel Jiménez, Antón Civit. [doi]
- On handling the fixed-outline constraints of floorplanning using less flexibility first principlesShaojun Wei, Sheqin Dong, Xianlong Hong, Youliang Wu. [doi]
- Elliptic curves cryptosystem implementation based on a look-up table sharing schemeSining Liu, F. Bowen, Brian King, Wei Wang 0003. [doi]
- Transversal noise current in split-drain transistorsF. C. Castaldo, C. A. Reis Filho. [doi]
- FIR filter design with group delay constraint using semidefinite programmingZhiping Lin, Yongzhi Liu. [doi]
- Image compression with structure-aware inpaintingChen Wang, Xiaoyan Sun, Feng Wu, Hongkai Xiong. [doi]
- Design on LVDS receiver with new delay-selecting technique for UXGA flat panel display applicationsMing-Dou Ker, Chien-Hua Wu. [doi]
- A method for finding the DC solution regions in piecewise-linear networksV. Jimenez-Fernandez, Luis Hernández-Martínez, Arturo Sarmiento-Reyes. [doi]
- A low power adaptive transmitter architecture for low band UWB applicationsXiaodong Zhang, Magdy A. Bayoumi. [doi]
- On-chip bidirectional transceiverHong-Yi Huang, Ching-Chieh Wu, Sen-Da Wu. [doi]
- Photovoltaic inverters with Perturb&Observe MPPT technique and one-cycle controlLuigi Egiziano, Nicola Femia, D. Granozio, Giovanni Petrone, Giovanni Spagnuolo, Massimo Vitelli. [doi]
- Implementation aspects of the DPA-resistant logic style MDPLThomas Popp, Stefan Mangard. [doi]
- Design of a multidimensional split vector-radix decimation-in-frequency FFT algorithmSaad Bouguezel, M. Omair Ahmad, M. N. S. Swamy. [doi]
- SC filter for RF down conversion with wideband image rejectionS. Andersson, J. Dabrowski, C. Svensson, J. Konopacki. [doi]
- A compact CPU architecture for sensor signal processingXin Cai, Martin A. Brooke. [doi]
- A charge based computation system and control strategy for energy harvesting applicationsHui Shao, Chi-Ying Tsui, Wing-Hung Ki. [doi]
- Low power high linearity transmitter front-end for 900 MHz Zigbee applicationsViet-Hoang Le, Trung-Kien Nguyen, Seok-Kyun Han, Sang-Gug Lee, S. B. Hyun. [doi]
- Automatic procedure generating noise models for discrete-time applicationsNoëlle Lewis, Guillaume Monnerie, L. Lewis, Jocelyn Sabatier, Pierre Melchior. [doi]
- Ultra-low voltage nano-scale embedded RAMsKiyoo Itoh, Masashi Horiguchi, Takayuki Kawahara. [doi]
- A novel LNA-mixer design with on-chip balunHsien-Ku Chen, J. R. Sha, Sung-Huang Lee, Da-Chiang Chang, Ying-Zong Juang, Chin-Fong Chin. [doi]
- Behavioral synthesis with SystemC and PSL assertions for interface specificationGeorge Economakos. [doi]
- On a design of crossroad switches for low-power on-chip communication architecturesJih-Sheng Shen, Kuei-Chung Chang, Tien-Fu Chen. [doi]
- Operation of class DE amplifier outside optimum conditionHiroo Sekiya, T. Negishi, Tadashi Suetsugu, Takashi Yahagi. [doi]
- Current-mode instantaneous state setting method and its application to an H-bridge inverterSatoshi Akatsu, Hiroyuki Torikai, Toshimichi Saito. [doi]
- Transmission line based FIR structures for high speed adaptive equalizationRajesh Tiruvuru, Shanthi Pavan. [doi]
- Equivalent output-filtering using fast QRD-RLS algorithm for burst-type training applicationsMobien Shoaib, Stefan Werner, J. A. Apolinário Jr., Timo I. Laakso. [doi]
- Analytic approach to or transformations for FET circuit synthesis. Part II. Nullator-norator re-pairing and cloningDavid G. Haigh. [doi]
- Dynamic cooperative behavior in a coupled-core fluxgate magnetometerBruno Ando, Salvatore Baglio, Vincenzo Sacco, Adi R. Bulsara, Visarath In, Andy Kho, Antonio Palacios, Patrick Longhini. [doi]
- Ultra-low voltage VLSI: are we there yet?Paul Ampadu. [doi]
- Mean square error analysis of RLS algorithm for WSSUS fading channelsXiaolin Shi, Shu Hung Leung, Chi-Sing Leung. [doi]
- Power-balanced reconfigurable floating-gate-MOS logic circuit for tamper resistant VLSIBenjamas Tongprasit, Tadashi Shibata. [doi]
- High speed decoding of context-based adaptive binary arithmetic codes using most probable symbol predictionChung-Hyo Kim, In-Cheol Park. [doi]
- The effect of switch resistance on pipelined ADC MDAC settling timeJ. Carnes, Un-Ku Moon. [doi]
- An improved scalar quantization-based digital video watermarking scheme for H.264/AVCAdarsh Golikeri, Panos Nasiopoulos, Z. J. Wang. [doi]
- Efficient passive transmission line macromodeling algorithm using method of characteristicsV. A. Pothiwala, Anestis Dounavis. [doi]
- Energy-efficient scheduling on multi-context FPGAsNei-Chiung Perng, Jian-Jia Chen, Chuan-Yue Yang, Tei-Wei Kuo. [doi]
- Injection-lock dynamics in non-harmonic oscillatorsG. Reddy Gangasani, Peter R. Kinget. [doi]
- A new high speed dynamic PLATzyy-Kuen Tien, Jing-Jou Tang, Kuan-Jou Chen. [doi]
- Time-interleaved analog-to-digital converters: status and future directionsC. Vogel, H. Johansson. [doi]
- Analysis of DC-DC converters containing a transformerTetsuo Nishi, Masato Ogata. [doi]
- Robust analog circuit design: a set theoretic approachOktay Altun, Mark F. Bocko. [doi]
- Circular array based 2D recursive filtering using a spatio-temporal helix transformArjuna Madanayake, Leonard T. Bruton. [doi]
- A CMOS circuit for embedded GHz measurement of digital signal rise time degradationMona Safi-Harb, Gordon W. Roberts. [doi]
- Real time operating system modeling in a system level design environmentClaudio Passerone. [doi]
- Performance of time-frequency localized and frequency selective filter banks in multicarrier systemsAri Viholainen, Tero Ihalainen, Markku Renfors. [doi]
- Fast analytical approach to finding steady-state waveforms for power electronics circuits using orthogonal polynomial basis functionsK. C. Tam, S. C. Wong, C. K. Tse. [doi]
- A new QR-decomposition based recursive frequency estimator for multiple sinusoids in impulsive noise environmentW. Y. Lau, S. C. Chan, Z. G. Zhang, C. H. Leung. [doi]
- Band-stop noise modulated bandpass sigma-delta analog-to-digital converterEric C. Moule, Zeljko Ignjatovic. [doi]
- A 1-V UHF low noise amplifier for ultralow-power applicationsH. S. Savci, Z. Wang, A. Sula, Numan Sadi Dogan, Ercument Arvas. [doi]
- An efficient algorithm for blind separation of multiple independent sourcesDa-Zheng Feng, Wei Xing Zheng. [doi]
- FPGA implementation of FIR filter using M-bit parallel distributed arithmeticShiann Shiun Jeng, Hsing-Chen Lin, Shu-Ming Chang. [doi]
- DCim++: a C++ library for object oriented hardware design and distributed simulationHadi Esmaeilzadeh, A. Moghimi, E. Ebrahimi, Caro Lucas, Zainalabedin Navabi, A. M. Fakhraie. [doi]
- A novel equaliser architecture with dynamic length optimisationMark P. Tennant, Ahmet T. Erdogan, Tughrul Arslan, John S. Thompson. [doi]
- Chip-scale magnetic sensing and control of nanoparticles and nanorodsEdward Choi, Zhiyong Gu, D. Gracias, Andreas G. Andreou. [doi]
- Area-efficient parallel decoder architecture for high rate QC-LDPC codesZhiqiang Cui, Zhongfeng Wang. [doi]
- 2.4GHz ZigBee radio architecture with fast frequency offset cancellation loopSangho Shin, Kwyro Lee, Sung-Mo Kang. [doi]
- A tool for design exploration and power optimization of CMOS RF circuits blocksLeonardo Barboni, Rafaella Fiorelli, Fernando Silveira. [doi]
- A transistor-based clock jitter insensitive DAC architectureFriedel Gerfers, Maurits Ortmanns, P. Schmitz. [doi]
- A generalized perceptual time-frequency subtraction method for speech enhancementYu Shao, Chip-Hong Chang. [doi]
- Demonstration of latency reduction in electrical interconnections using optical fanoutAnand Pappu, Alyssa B. Apsel. [doi]
- A novel system for intrabody communication: touch-and-playChang Hee Hyung, Jin Bong Sung, Jung Hwan Hwang, Jin Kyung Kim, Duck Gun Park, Sung Weon Kang. [doi]
- A semiblind receiver for space-time block-coded downlink multirate DS-CDMA systemsSamphan Phrompichai, Peerapol Yuvapoositanon. [doi]
- A non-uniform sampling approach for the reduction of capacitance spread in SC circuitsJosé L. Ausín, Miguel Angel Domínguez, J. Francisco Duque-Carrillo, S. G. Torelli. [doi]
- New CMOS fully differential transconductor and its applicationM. O. Shaker, Soliman A. Mahmoud, Ahmed M. Soliman. [doi]
- An efficient adaptive interlace-to-progressive scan conversion scheme and hardware implementationShahab Salehi, B. M. Handjojo, Wei Wang, Yaobin Chen. [doi]
- A single chip image sensor embedded smooth spatial filter with A/D conversionChia-Chun Tsai, Huang-Chi Chou, Trong-Yen Lee, Rong-Shue Hsiao. [doi]
- A CMOS contact imager for locating individual cellsHonghao Ji, David Sander, A. Haas, Pamela Abshire. [doi]
- A 1.2V, 3.5µW, 20MS/s, 8-bit comparator with dynamic-biasing preamplifierSunwoo Kwon, Hoi Lee. [doi]
- A binarization method for scanned documents based on hidden Markov modelSongtao Huang, Maher A. Sid-Ahmed, Majid Ahmadi, Idris El-Feghi. [doi]
- A second-order cone programming approach for minimax design of 2-D FIR filters with low group delayWu-Sheng Lu, Takao Hinamoto. [doi]
- Algorithm and VLSI architecture for linear MMSE detection in MIMO-OFDM systemsAndreas Burg, Simon Haene, David Perels, Peter Luethi, Norbert Felber, Wolfgang Fichtner. [doi]
- Body-bias regulator for ultra low power multifunction CMOS gatesKristian Granhaug, Snorre Aunet, Tor Sverre Lande. [doi]
- Time delay estimation with coupled LMS filtersJ. Izydorczyk. [doi]
- Spice-oriented iterative technique for distortion analysisMark M. Gourary, Sergey G. Rusakov, Sergey L. Ulyanov, Michael M. Zharov, Brian J. Mulvaney. [doi]
- A 0.18µm CMOS clock and data recovery circuit with extended operation rangeMiao Li, Wenjie Huang, Tad A. Kwasniewski, Shoujun Wang. [doi]
- Complex network topologies and synchronizationPaolo Checco, Mario Biey, Gábor Vattay, Ljupco Kocarev. [doi]
- A 0.8V algorithmically defined buffer and ring oscillator low-energy design for nanometer SoCsBill Pontikakis, François R. Boyer, Yvon Savaria. [doi]
- Circuits for an RF cochleaSoumyajit Mandal, Serhii M. Zhak, Rahul Sarpeshkar. [doi]
- A hybrid encoding scheme for efficient single-cycle range matching in content addressable memoryYing Yu, Raymond R. Hoare, Alex K. Jones, Ralph Sprang. [doi]
- Analytical synthesis of current-mode even-Nth-order single-ended-input OTA and equal-capacitor elliptic filter structure with the minimum componentsShu-Hui Tu, J. Neil Ross, Chun-Ming Chang. [doi]
- A 3V 110µW 3.1 ppm/°C curvature-compensated CMOS bandgap referenceXiaokang Guan, A. Wang, A. Ishikawa, T. Tamura, Zhihua Wang, Chun Zhang. [doi]
- Generalized arbitrary resizing for video transcodingHaiyan Shu, Lap-Pui Chau. [doi]
- A computationally efficient DAB bit-stream processorR. Kazazoglu, Süleyman Sirri Demirsoy, Izzet Kale, Richard C. S. Morling. [doi]
- Number of stimulation units needed to derive all the phase patterns in pulse-driven star-coupled LC oscillatorsS. Moro, K. Hamamoto, T. Matsumoto. [doi]
- Data partition for wavefront parallelization of H.264 video encoderZhuo Zhao, Ping Liang. [doi]
- Fast startup CMOS current referencesSoumyajit Mandal, Scott K. Arfin, Rahul Sarpeshkar. [doi]
- Single-channel speech enhancement based on frequency domain ALEIsao Nakanishi, Y. Nagata, Y. Itoh, Yutaka Fukui. [doi]
- A single chip micro-DNA-array system based on CMOS image sensor technologyYijin Wang, Ming Hsing, Chen Xu, Jiong Li, Mansun Chan. [doi]
- A 100dB dynamic range high-speed dual-line optical transient sensor with asynchronous readoutPatrick Lichtsteiner, Tobi Delbrück, Christoph Posch. [doi]
- A new low-voltage CMOS unity-gain bufferM. Jiménez, Antonio B. Torralba, Ramón González Carvajal, Jaime Ramírez-Angulo. [doi]
- On the determination of adjusted OPF solutionsC. F. Moyano, Roberto S. Salgado, Luciano V. Barboza. [doi]
- A 2-GHz integrated CMOS reflective-type phase shifter with 675° control rangeCameron T. Charles, David J. Allstot. [doi]
- A parameterizable digital-approximated 2D Gaussian smoothing filter for edge detection in noisy imagePei-Yung Hsiao, Chia-Hsiung Chen, Shin-Shian Chou, Le-Tien Li, Sao-Jie Chen. [doi]
- Performance analysis of optimum SMI beamformers for spatial interference rejectionR. M. Shubair, W. Jassmi. [doi]
- A neural model for sonar-based navigation in obstacle fieldsTimothy K. Horiuchi. [doi]
- An adaptation method for FIR pre-emphasis filter on backplane channelKwisung Yoo, Gunhee Han. [doi]
- Time domain equalization for OFDM systemsShaodan Ma, Ngai Wong, Tung-Sang Ng. [doi]
- Wireless esophageal catheter dedicated to respiratory diseases diagnosticT. Desilets, Mohamad Sawan, F. Bellemare. [doi]
- Performance of chaotic switching noise injected to Hopfield NN for quadratic assignment problemYoshifumi Tada, Yoko Uwate, Yoshifumi Nishio. [doi]
- Current-mode and voltage-mode quadrature oscillator employing multiple outputs CCIIs and grounded capacitorsJiun-Wei Horng, Hung-Pin Chou, Iun-Cheng Shiu. [doi]
- 1.5-V 900-µW 40-dB CMOS variable gain amplifierP. Naktongkul, Apinunt Thanachayanont. [doi]
- Spectral shaping of clock jitter errors for continuous time sigma-delta modulatorsLuis Hernández, Susanna Patón, Andreas Wiesbauer. [doi]
- Energy efficient MPSoC on-chip communication bus synthesis using voltage scaling techniqueSujan Pandey, Manfred Glesner. [doi]
- Decomposed piecewise-linear models by hyperplanes unbendingV. Jimenez-Fernandez, Luis Hernández-Martínez, Arturo Sarmiento-Reyes. [doi]
- Low power and power aware fractional motion estimation of H.264/AVC for mobile applicationsTung-Chien Chen, Yu-Han Chen, Chuan-Yung Tsai, Liang-Gee Chen. [doi]
- Towards autonomous adaptive behavior in a bio-inspired CNN-controlled robotPaolo Arena, Luigi Fortuna, Mattia Frasca, Luca Patané, M. Pavone. [doi]
- A power-optimized CMOS LC VCO with wide tuning range in 0.5-V supplyDongmin Park, SeongHwan Cho. [doi]
- Realization of MIMO linear discrete-time systems with minimum L::2::-sensitivity and no overflow oscillationsTakao Hinamoto, Osemekhian I. Omoifo, Wu-Sheng Lu. [doi]
- Spike timing dependent adaptation for mismatch compensationKatherine L. Cameron, Alan F. Murray, S. Collins. [doi]
- SystemC models generation based on libraries of templatesAndrzej Pulka. [doi]
- Automated design and layout generation for switched current circuitsPawel Sniatala, R. Rudnicki. [doi]
- Direct control on modulation spectrum for noise-robust speech recognition and spectral subtractionNaoya Wada, Noboru Hayasaka, Shingo Yoshizawa, Yoshikazu Miyanaga. [doi]
- A cost-effective reconfigurable accelerator for platform-based SOC designLan-Da Van, Hsin-Fu Luo, Nien-Hsiang Chang, Chun-Ming Huang. [doi]
- Signal processing for brain-computer interface: enhance feature extraction and classificationHaihong Zhang, Cuntai Guan, Yuanqing Li. [doi]
- Gate-level dual-threshold static power optimization methodology (GDSPOM) for designing high-speed low-power SOC applications using 90nm MTCMOS technologyB. Chung, J. B. Kuo. [doi]
- Concurrent error detection in Reed Solomon decodersGian-Carlo Cardarilli, Salvatore Pontarelli, Marco Re, Adelio Salsano. [doi]
- Mismatch compensation techniques using random data for time-interleaved A/D convertersAfshin Haftbaradaran, K. W. Martin. [doi]
- Improving the stability of on-chip automatic tuning loops for continuous-time filters with an analog adaptive controllerHerminio Martínez, Eva Vidal, Eduard Alarcón, Alberto Poveda. [doi]
- A 0.13µm CMOS T/R switch design for ultrawideband wireless applicationsChang-Ching Wu, A. Yen, Jen-Chung Chang. [doi]
- Testable and self-repairable structured logic designUthman Alsaiari, Resve Saleh. [doi]
- Fundamental limitations of continuous-time delta-sigma modulators due to clock jitterK. Reddy, S. Pavan. [doi]
- An efficient implementation of linear-phase FIR filters for a rational sampling rate conversionRobert Bregovic, Tapio Saramäki, Ya Jun Yu, Yong Ching Lim. [doi]
- A new look at reversible memory elementsJacqueline E. Rice. [doi]
- Analytic approach to or transformations for FET circuit synthesis. Part I. Nullator-norator tree transformationsDavid G. Haigh. [doi]
- Constant transconductance bias circuit with an on-chip resistorN. Talebbeydokhti, Pavan Kumar Hanumolu, P. Kurahashi, Un-Ku Moon. [doi]
- Energy-optimal dynamic voltage scaling for sporadic tasksBu Aiguo, Shi Longxing, Hu Chen, Li Jie, Wang Chao. [doi]
- Voltage stability and voltage recovery: effects of electric load dynamicsA. P. Sakis Meliopoulos, George J. Cokkinides, G. K. Stefopoulos. [doi]
- A current-mode chaotic oscillatorGeorge Souliotis, Konstantine Giannakopoulos, Nikos Fragoulis. [doi]
- A 1.5-V 10-ppm/°C 2nd-order curvature-compensated CMOS bandgap reference with trimmingSen-Wen Hsiao, Yen-Chih Huang, David Liang, H.-W. K. Chen, Hsin-Shu Chen. [doi]
- Reverse conversion architectures for signed-digit residue number systemsA. Persson, L. Bengtsson. [doi]
- Time domain analysis of analog filters in MATLAB environmentK. Zaplatilek, K. Hajek. [doi]
- Fast word-level power models for synthesis of FPGA-based arithmeticJonathan A. Clarke, Altaf Abdul Gaffar, George A. Constantinides, Peter Y. K. Cheung. [doi]
- MIMO detection in analog VLSIJosep Soler Garrido, Robert J. Piechocki, K. Maharatna, D. McNamara. [doi]
- Robustness optimization of parametric speech watermarkingAparna Gurijala, John R. Deller Jr., Dale Joachim. [doi]
- Bit level architectural exploration technique for the design of low power multipliersGeorge Economakos, K. Anagnostopoulos. [doi]
- System-level verification on high-level synthesis of dataflow graphTsung-Hsi Chiang, Lan-Rong Dung. [doi]
- Content-based video copy detection with video signatureZhenyan Li, Yap-Peng Tan. [doi]
- Fast bit permutation unit for media enhanced microprocessorsGiorgos Dimitrakopoulos, Christos Mavrokefalidis, Costas Galanopoulos, Dimitris Nikolos. [doi]
- Power-efficient VLSI implementation of bitstream parsing in H.264/AVC decoderKe Xu, Oliver Chiu-sing Choy, Cheong-fat Chan, Kong-Pong Pun. [doi]
- Effect of nonlinearity in the CMFB circuit that uses the differential-difference amplifierM. M. Zhang, Paul J. Hurst. [doi]
- An analytical propagation delay model with power supply noise effectsMark Pude, Clyde Washburn, Ponnathpur R. Mukund, Kouichi Abe, Yoshinori Nishi. [doi]
- Pattern emergence in strange attractors by directions of mappingsT. Kumano, Tetsushi Ueta, Hiroshi Kawakami. [doi]
- A novel hybrid neuro-wavelet system for robust speech recognitionYu Shao, Chip-Hong Chang. [doi]
- Bandwidth limits in PWM switching amplifiersL. Marco, Alberto Poveda, Eduard Alarcón, Dragan Maksimovic. [doi]
- Quantization noise reduction using multiphase PLLsIgor Miletic, Ralph Mason. [doi]
- Fourier series analysis of the nonlinearities in analog closed-loop PWM class D amplifiersWei Shu, Joseph Sylvester Chang, Tong Ge, Meng Tong Tan. [doi]
- On-die decoupling capacitance: frequency domain analysis of activity radiusMichael Sotman, Avinoam Kolodny, Mikhail Popovich, Eby G. Friedman. [doi]
- An adaptive frequency synthesizer architecture reducing reference sidebandsHaiyong Wang, Guoliang Shou, Nanjian Wu. [doi]
- CMOS pixel-level ADC with change detectionYu M. Chi, Udayan Mallik, Edward Choi, Matthew A. Clapp, G. Gauwenberghs, Ralph Etienne-Cummings. [doi]
- Design of STR level converters for SoCs using the multi-island dual-VDD design techniqueJinn-Shyan Wang, Yu-Juey Chang, Chingwei Yeh, Yuan-Hua Chu. [doi]
- A low power, transverse analog FIR filter for feed forward equalization of gigabit EthernetMohammad B. Vahidfar, Omid Shoaei, M. Fardis. [doi]
- A ladder network delay model for coupled interconnectsGiulio Antonini, Giuseppe Ferri. [doi]
- Performance and routability improvements for routability-driven FPGA routersSamy M. Boshra, Hazem M. Abbas, Ahmed M. Darwish, Ihab E. Talkhan. [doi]
- Yield enhancement by means of evolutionary computation techniquesLukasz Zielinski, Bartlomiej Puchalski, Jerzy Rutkowski. [doi]
- A low-power CMOS Gm-C filter for wireless receiver applications with on-chip automatic tuning systemH. Adrang, Reza Lotfi, K. Mafinejhad, Armin Tajalli, Saeid Mehrmanesh. [doi]
- Low power reference voltages for stepwise display driversChristoph Saas, T. Schwarzenbeck, Josef A. Nossek. [doi]
- Architecture refinements by code refactoring of behavioral VHDL-AMS modelsKaiping Zeng, Sorin A. Huss. [doi]
- A low voltage, high speed, high resolution class AB switched current sample and holdHold Omid Rajaee, A. Jahanian, Mehrdad Sharif Bakhtiar. [doi]
- An efficient mechanism to provide full visibility for hardware debuggingWei-Hsiang Cheng, Chin-Lung Chuang, Chien-Nan Jimmy Liu. [doi]
- A dual-mode low-distortion sigma-delta modulator with relaxing comparator accuracyKin-Sang Chio, Seng-Pan U., Rui Paulo Martins. [doi]
- Enhanced degree computationless modified Euclid s algorithm for Reed-Solomon decoderJaehyun Baek, Myung Hoon Sunwoo. [doi]
- Phase shifts and linear dependenciesJayawant Kakade, Dimitrios Kagaris. [doi]
- MMSE-based design of scaled and offset BP-based decoding algorithms on the fast Rayleigh fading channelHe Zheng, Hanying Hu. [doi]
- A termination technique for the averaging network of flash ADC sA. Ismail, Mohamed I. Elmasry. [doi]
- Faster elliptic curve point multiplication based on a novel greedy base-2, 3 methodAaron E. Cohen, Keshab K. Parhi. [doi]
- A low-power, high-speed RB-to-NB converter for fast redundant binary multiplierYajuan He, Chip-Hong Chang. [doi]
- On an implementation of differential and quadrature Colpitts injection-locked frequency dividersU. Yodprasit, Christian C. Enz. [doi]
- Intersymbol and intercarrier interference canceller for multi-carrier modulation receiversHeng-Cheng Yeh, Leon Lin. [doi]
- Continuous time delta sigma modulators with reduced clock jitter sensitivityHashem Zare-Hoseini, Izzet Kale. [doi]
- A new approach to secure distributed storage, sharing and dissemination of digital imagePramod Kumar Meher, Jagdish Chandra Patra. [doi]
- Analysis of dynamic element matching (DEM) in pipelined ADCsMohammad Taherzadeh-Sani, Anas A. Hamoui. [doi]
- Formulas to generate efficient piecewise-polynomial implementations of narrowband linear-phase FIR filtersRaija Lehto, Tapio Saramäki, Olli Vainio. [doi]
- Sampled analog architecture for 2-D DCTC. Thakkar, Anindya Sundar Dhar. [doi]
- On the behaviour of passive guard-rings in lightly doped substratesMohammad Hekmat, Shahriar Mirabbasi, Majid Hashemi. [doi]
- A versatile I/O with robust impedance calibration for various memory interfacesKyoung-Hoi Koo, Soo-Kyung Lee, Jin-Ho Seo, Myeong-Lyong Ko, Jae-Whui Kim. [doi]
- A comparison of output envelope waveforms of the delta-sigma modulated class D series resonant inverterHirotaka Koizumi, Kosuke Kurokawa, Shinsaku Mori. [doi]
- Spatio-temporal boundary matching algorithm for temporal error concealmentYan Chen, Oscar C. Au, Chi-Wang Ho, Jiantao Zhou. [doi]
- An improved design approach for LC tank VCOsMarcus Prochaska, K. Bohle, Wolfgang Mathis. [doi]
- Lossless multi-channel EEG compressionYodchanan Wongsawat, Soontorn Oraintara, T. Tanaka, K. R. Rao. [doi]
- Inductance extraction for general interconnect structuresChun-Ying Lai, Shyh-Kang Jeng, Yao-Wen Chang, Chia-Chun Tsai. [doi]
- Stability analysis for Cohen-Grossberg neural networks with time-varying delaysWu-Hua Chen, Wei Xing Zheng. [doi]
- An analysis of the CCA approach for blind source separation and its adaptive realizationWei Liu, Danilo P. Mandic, Andrzej Cichocki. [doi]
- Design of a high linearity mixer for direct-conversion base-station receiverT. Tikka, Jussi Ryynänen, Mikko Hotti, Kari Halonen. [doi]
- Silicon implementation of an MMSE-based soft demapper for MIMO-BICMSimon Haene, Andreas Burg, David Perels, Peter Luethi, Norbert Felber, Wolfgang Fichtner. [doi]
- A high-quality sine-wave oscillator for analog built-in self-testingMiguel Angel Domínguez, José L. Ausín, J. Francisco Duque-Carrillo, Guido Torelli. [doi]
- Error-resilience packet scheduling for low bit-rate video streaming over wireless channelsHao Liu, Wenjun Zhang, Xiaokang Yang. [doi]
- Adaptive bandwidth PLL with compact current mode filterJiefeng Yan, Lei Xie, Xiaoyang Zeng, Tingao Tang. [doi]
- Non-causal error control for wireless video streaming with noncoherent signalingIvan V. Bajic. [doi]
- A 20-MS/s sigma delta modulator for 802.11a applicationsJen-Shiun Chiang, Yi-Tsung Li, Hsin-Liang Chen. [doi]
- A low ripple on-chip charge pump for bootstrapping of the noise-sensitive nodesS. Alenin, D. Spady, V. Ivanov. [doi]
- Circuit sizing method under delay constraintAlexandre Verle, A. Landrault, Philippe Maurine, Nadine Azémard. [doi]
- Neural network stream processing core (NnSP) for embedded systemsHadi Esmaeilzadeh, Pooya Saeedi, Babak Nadjar Araabi, Caro Lucas, Seid Mehdi Fakhraie. [doi]
- Real-time event detection and its application to surveillance systemsM. H.-Y. Liao, Duan-Yu Chen, Chih-Wen Su, Hsiao-Rong Tyan. [doi]
- A massively parallel algorithm for local binary pattern based face recognitionOlli Lahdenoja, Janne Maunu, Mika Laiho, Ari Paasio. [doi]
- Circuit for statistical estimation of BER and SNR in telecommunicationsStamatios V. Kartalopoulos. [doi]
- A nanowatt bandgap voltage reference for ultra-low power applicationsS. Miller, Leonard MacEachern. [doi]
- Single-chip FPGA architecture for 3D IIR broadband spatio-temporal beam plane-wave filtersArjuna Madanayake, Leonard T. Bruton. [doi]
- Global stability of a recurrent neural network for solving pseudomonotone variational inequalitiesXiaolin Hu, Jun Wang. [doi]
- An efficient homotopy method that can be easily implemented on SPICEKiyotaka Yamamura, Wataru Kuroki. [doi]
- 1.5-V square-root domain first-order filter with multiple operating pointsCarlos Aristoteles De la Cruz-Blas, Antonio J. López-Martín, Alfonso Carlosena, L. Hernandez, A. Sarmiento. [doi]
- 300-Mbps OFDM baseband transceiver for wireless LAN systemsShingo Yoshizawa, Yoshikazu Miyanaga, H. Ochi, Y. Itoh, N. Hataoka, B. Sai, N. Takayama, M. Hirata. [doi]
- Broadband capacitive sensor CMOS interface circuit for dielectric spectroscopyM. Daphtary, S. Sonkusale. [doi]
- Model compatibility aspects in multilingual simulation environmentsGabriel Popescu, Leonid B. Goldgeisser. [doi]
- Average rate behavior for cooperative diversity in wireless networksJesús Gómez-Vilardebó, Ana I. Pérez-Neira, Miguel Angel Lagunas. [doi]
- Design on new tracking circuit of I/O buffer in 0.13µm cell library for mixed-voltage applicationZi-Ping Chen, Che-Hao Chuang, Ming-Dou Ker. [doi]
- A CMOS potentiostat for control of integrated MEMS actuatorsS. B. Prakash, Pamela Abshire, M. Urdaneta, M. Christophersen, Elisabeth Smela. [doi]
- High fan-in differential current mirror logicY. Tsiatouhas, Angela Arapoyanni. [doi]
- High speed routing lookup IC design for IPv6Yuan-Sun Chu, Hui-Kai Su, Po-Feng Lin, Ming-Jen Chen. [doi]
- Exploring reusable frame buffer data for MPEG-4 video decodingWei-Cheng Lin, Chung-Ho Chen. [doi]
- Switched-capacitor (SC)/switched inductor (SL) structures for getting hybrid step-down Cuk/Sepic/Zeta convertersBoris Axelrod, Yefim Berkovich, Adrian Ioinovici. [doi]
- A 3µW, 2 MHz CMOS frequency reference for capacitive sensor applicationsMatti Paavola, Mika Laiho, Mikko Saukoski, Kari Halonen. [doi]
- A modified high-radix scalable Montgomery multiplierYibo Fan, Xiaoyang Zeng, Yu Yu, Gang Wang, Qianling Zhang. [doi]
- Real-time seizure monitoring and spectral analysis microsystemJ. N. Y. Aziz, Rafal Karakiewicz, Roman Genov, B. L. Bardakjian, M. Derchansky, P. L. Carlen. [doi]
- Unit resistor characterization for matching-critical circuit designYu Lin, Randall L. Geiger. [doi]
- A quadrature sinusoidal oscillator with phase-preserving linear frequency control and independent static amplitude controlDimitrios N. Loizos, Paul-Peter Sotiriadis. [doi]
- Full-range cellular neural networks and differential variational inequalitiesGuido De Sandre, Mauro Forti, Paolo Nistri, Amedeo Premoli. [doi]
- Undersampled LC bandpass Sigma Delta modulators with feedback FIRDACsA. Kammoun, Nicolas Beilleau, Hassan Aboushady. [doi]
- MMSE equalization for bandwidth-efficient multicarrier systemsDirk S. Waldhauser, Josef A. Nossek. [doi]
- Tongue drive: a tongue operated magnetic sensor based wireless assistive technology for people with severe disabilitiesG. Krishnamurthy, Maysam Ghovanloo. [doi]
- Sub-optimum operation of class E amplifier with nonlinear shunt capacitance at any duty cycleTadashi Suetsugu, Marian K. Kazimierczuk. [doi]
- MPEG complexity reduction by scene adaptive motion estimationVasily G. Moshnyaga, S. Yamaoka. [doi]
- Design of a MIMO OFDM baseband transceiver for cognitive radio systemJui-Ping Lien, Po-An Chen, Tzi-Dar Chiueh. [doi]
- Need for large local FPGA-accessible memories in the integration of bio-inspired applications into embedded systemsH. Spaanenburg, J. Thompson, V. Abraham, Lambert Spaanenburg, Wenhai Fang. [doi]
- A probabilistic method to determine the minimum leakage vector for combinational designsKanupriya Gulati, Nikhil Jayakumar, Sunil P. Khatri. [doi]
- Via placement for minimum interconnect delay in three-dimensional (3D) circuitsVasilis F. Pavlidis, Eby G. Friedman. [doi]
- Semantic multimedia analysis for content-adaptive video streamingA. Murat Tekalp. [doi]
- Fast mode decision for spatial scalable video codingHe Li, Z. G. Li, Changyun Wen, Lap-Pui Chau. [doi]
- A reconfigurable FIR filter design using dynamic partial reconfigurationYeong-Jae Oh, Hanho Lee, Chong-Ho Lee. [doi]
- A novel effective bandpass semi-MASH sigma-delta modulator with double-sampling mismatch-free resonatorChon-In Lao, Seng-Pan U., Rui Paulo Martins. [doi]
- A low-power geometric mapping co-processor for high-speed graphics applicationS. Leeke, L. Maharatna. [doi]
- Theory of placement by numDAG related with single-sequence, SP, BSG, and O-treeYoji Kajitani. [doi]
- Design of current-mode resonator for wireless applicationsChun-Lung Hsu, Yu-Kuan Wu, Yi-Ting Lai, Mean-Horn Ho. [doi]
- Video noise reduction in the wavelet domain using temporal decorrelation and adaptive thresholdingNikhil Gupta, M. N. S. Swamy, Eugene I. Plotkin. [doi]
- Fast mode decision and motion estimation for H.264 (FMDME)Hoi-Ming Wong, Oscar C. Au, Andy Chang, Shu-Kei Yip, Chi-Wang Ho. [doi]
- A technique to design high entropy chaos-based true random bit generatorsTommaso Addabbo, Massimo Alioto, Ada Fort, Santina Rocchi, Valerio Vignoli. [doi]
- Face segmentation in head-and-shoulder video sequences based on facial saliency mapHongliang Li, King N. Ngan. [doi]
- Low-power 2.4GHz CMOS frequency synthesizer with differentially controlled MOS varactorsSangho Shin, Kwyro Lee, Sung-Mo Kang. [doi]
- Current source calibration by combination selection of minimum sized devicesJanne Maunu, Mikko Pänkäälä, Joona Marku, Jonne Poikonen, Mika Laiho, Ari Paasio. [doi]
- Phase noise in bipolar and CMOS VCO s - an analytical comparisonBaris Koc, Adil Koukab, Günhan Dündar. [doi]
- A 5-GHz combined oscillator/mixerChris van den Bos, Luís Bica Oliveira, Jorge R. Fernandes, Chris J. M. Verhoeven. [doi]
- On the design of CMFB transceivers for unknown channelsChih-Hao Liu, See-May Phoong, Yuan-Pei Lin. [doi]
- A delay generation technique for fast-locking frequency synthesizersSankaran Aniruddhan, S. Shekhar, David J. Allstot. [doi]
- An RNS architecture of an F::p:: elliptic curve point multiplierDimitrios M. Schinianakis, Apostolos P. Fournaris, Athanasios Kakarountas, Thanos Stouraitis. [doi]
- Digital filter bank design using simple subfiltersH. K. Kwan. [doi]
- A 170 Mbps (8176, 7156) quasi-cyclic LDPC decoder implementation with FPGAZhiqiang Cui, Zhongfeng Wang. [doi]
- Calculating distortion in active CMOS mixers using Volterra seriesG. Theodoratos, A. Vasilopoulos, G. Vitzilaios, Yannis Papananos. [doi]
- Power, clock, and data recovery in a wireless neural recording deviceDaniel J. Black, Reid R. Harrison. [doi]
- Population fitness probability for effectively terminating the evolution operations of a genetic algorithmHeng-Chou Chen, Oscal T.-C. Chen. [doi]
- Analysis and modeling of jitter and frequency tolerance in gated oscillator based CDRsArmin Tajalli, Paul Muller, Seyed Mojtaba Atarodi, Yusuf Leblebici. [doi]
- Phase-tracking loop based on delta-sigma oversampling architectureYuichiro Orino, Minoru Kuribayashi Kurosawa, Takashi Katagiri. [doi]
- A real-time vision-interactive guiding systemCheng-Yu Chang, You-Sheng Yeh, Pau-Choo Chung, Jar-Ferr Yang. [doi]
- Fast timing analysis of plane circuits via two-layer CNN-based modelingYuichi Tanji, Hideki Asai, Masayoshi Oda, Yoshifumi Nishio, Akio Ushida. [doi]
- A quad-band receiver for GSM/GPRS/EDGE in 90 nm digital CMOSF. Dulger, Sher Jiun Fang, A. N. Mohieldin, P. Fontaine, A. Bellaouar, M. Frechette. [doi]
- A fast kernel for unifying GF(p) and GF(2:::m:::) Montgomery multiplications in a scalable pipelined architectureRavi Kumar Satzoda, Chip-Hong Chang. [doi]
- A low-voltage CMOS linear transconductor suitable for analog multiplier applicationChutham Sawigun, Jirayuth Mahattanakul. [doi]
- Adaptive rate control for H.264/AVC using Kalman filterCheng-Liang Chen, Meng-Fen Ho, Chung-Lin Huang. [doi]
- A parallel LSI architecture for LDPC decoder improving message-passing scheduleKazunori Shimizu, Tatsuyuki Ishikawa, Nozomu Togawa, Takeshi Ikenaga, Satoshi Goto. [doi]
- Distributed video coding based on adaptive binningYixuan Zhang, Ce Zhu. [doi]
- A 4-Kb low power 4-T SRAM design with negative word-line gate driveChua-Chin Wang, Ching-Li Lee, Wun-Ji Lin. [doi]
- A micropower vision processor for parallel object positioning and sizingTimothy G. Constandinou, Chris Toumazou. [doi]
- A 5.3GHz low-phase-noise LC VCO with harmonic filtering resistorLe Wang, Parag Upadhyaya, Pinping Sun, Yang Zhang, Deuk Hyoun Heo, Yi-Jan Emery Chen, DongHo Jeong. [doi]
- Parallel encoders for low-density parity-check convolutional codesStephen Bates, Ramkrishna Swamy. [doi]
- Improved building blocks for log-domain linear transformation filtersC. Psychalinos. [doi]
- Weighted-to-residue and residue-to-weighted converters with three-moduli (2:::n:::-1, 2:::n:::, 2:::n:::+1) signed-digit architecturesShuangching Chen, Shugang Wei. [doi]
- CMOS integrated MEMS resonator for RF applicationsArantxa Uranga, Jordi Teva, Jaume Verd, J. L. Lopez, F. Torres, Gabriel Abadal, Nuria Barniol, Jaume Esteve, Francesc Pérez-Murano. [doi]
- Network condition detection for video transport over wireless InternetSiu-Ping Chan, Ming-Ting Sun. [doi]
- Dielectric absorption of low-k materials: extraction, modelling and influence on SAR ADCsMichael Kropfitsch, Philipp Riess, Gerhard Knoblinger, Dieter Draxelmayr. [doi]
- A tunable floating gate CMOS resistor for low-power and low-voltage applicationsErhan Ozalevli, Paul E. Hasler. [doi]
- Realization of QoS management using negotiation algorithms for multiprocessor NoCMilan Pastrnak, Peter H. N. de With, Jef L. van Meerbergen. [doi]
- Global exponential stability of generalized neural networks with time-varying delaysGang Wang, Huaguang Zhang, Derong Liu. [doi]
- A floating-gate programmable array of silicon neurons for central pattern generating networksFrancesco Tenore, R. Jacob Vogelstein, Ralph Etienne-Cummings, Gert Cauwenberghs, P. Hasler. [doi]
- Multi-pass algorithm of motion estimation in video encoding for generic GPUYu-Cheng Lin, Pei-Lun Li, Chin-Hsiang Chang, Chi-Ling Wu, You-Ming Tsao, Shao-Yi Chien. [doi]
- High-pass Delta Sigma modulator: from system analysis to circuit designVan Tam Nguyen, Patrick Loumeau, Jean-François Naviner. [doi]
- An efficient MFCC extraction method in speech recognitionWei Han, Cheong-fat Chan, Oliver Chiu-sing Choy, Kong-Pang Pun. [doi]
- Predicting fast-scale instabilities in switching power converters: a ripple-based unified perspectiveEduard Alarcón, Abdelali El Aroudi, J. Martinez-Artega, Gerard Villar, Francesc Guinjoan, Alberto Poveda. [doi]
- Expandable hardware for computing cortical feature mapsBertram Emil Shi, Eric K. C. Tsang, Stanley Y. M. Lam, Yicong Meng. [doi]
- A 100MHz-1GHz adaptive bandwidth phase-locked loop in 90nm processKuo-Hsing Cheng, Kai-Fei Chang, Yu-lung Lo, Ching-Wen Lai, Yuh-Kuang Tseng. [doi]
- Online calibration of quadrature low-IF receiversE. Seagraves, B. Walcott. [doi]
- A high data rate QPSK demodulator for inductively powered electronics implantsShihong Deng, Yamu Hu, Mohamad Sawan. [doi]
- Improved reversible integer transformSoo-Chang Pei, Jian-Jiun Ding. [doi]
- Side channel analysis resistant design flowManfred Josef Aigner, Stefan Mangard, Francesco Menichelli, Renato Menicocci, Mauro Olivieri, Thomas Popp, Giuseppe Scotti, Alessandro Trifiletti. [doi]
- Design considerations and recent advances in CMOS-based microsystems for point-of-care clinical diagnosticsD. Barrettino. [doi]
- NIUGAP: low latency network interface architecture with Gray code for networks-on-chipDaewook Kim, Manho Kim, Gerald E. Sobelman. [doi]
- An ultra-low complexity motion estimation algorithm and its implementation of specific processorSeiichiro Hiratsuka, Satoshi Goto, Takeshi Ikenaga. [doi]
- Multiplier reduction tree with logarithmic logic depth and regular connectivityHenrik Eriksson, Per Larsson-Edefors, Mary Sheeran, Magnus Själander, D. Johansson, M. Scholin. [doi]
- An FCC compliant pulse generator for IR-UWB communicationsSumit Bagga, Sandro A. P. Haddad, Wouter A. Serdijn, John R. Long. [doi]
- PSRR improvement technique for amplifiers with Miller capacitorMikko Loikkanen, Juha Kostamovaara. [doi]
- An efficient parallelization technique for high throughput FFT-ASIPsHarold Ishebabi, Gerd Ascheid, Heinrich Meyr, O. Atak, A. Atalar, E. Arikan. [doi]
- A mixed-structure delay locked-loop with wide range and fast lockingYoungkwon Jo, Yong Shim, Soo Hwan Kim, Suki Kim, Kwanjun Cho. [doi]
- An error concealment scheme for entire frame losses based on H.264/AVCZhenyu Wu, Jill M. Boyce. [doi]
- Modeling and analysis of PSRR in analog PWM class D amplifiersTong Ge, Joseph Sylvester Chang, Wei Shu. [doi]
- Supporting analog synthesis by abstracting circuit behavior using a modeling methodologyR. Jancke, P. Schwarz. [doi]
- Finite state machine state assignment for area and power minimizationAiman H. El-Maleh, Sadiq M. Sait, F. Nawaz Khan. [doi]
- An optimal normal basis elliptic curve cryptoprocessor for inductive RFID applicationPak-Keung Leung, Oliver Chiu-sing Choy, Cheong-fat Chan, Kong-Pang Pun. [doi]
- Channel based routing in channel-less circuitsGlauco Borges Valim dos Santos, Marcelo de Oliveira Johann, Ricardo Augusto da Luz Reis. [doi]
- Linear time-varying filter with variable bandwidthHeyoung Lee, Zeungnam Bien. [doi]
- A compact 190µW PLL for clock control and distribution in ultra-large scale ICsGordon Allan, John Knight. [doi]
- New Riemannian metrics for speeding-up the convergence of over- and underdetermined ICAStefano Squartini, Francesco Piazza, Fabian J. Theis. [doi]
- Low power state-parallel relaxed adaptive Viterbi decoder design and implementationFei Sun, Tong Zhang. [doi]
- Exact hierarchical symbolic analysis of large analog networks using a general interconnection templateMukesh Ranjan, Ranga Vemuri. [doi]
- A 7.5mW, 11-bit continuous-time sigma-delta A/D converter for WLAN applicationsRaf Schoofs, Michiel Steyaert, Willy M. C. Sansen. [doi]
- VLSI implementation of a sequential Monte Carlo receiverMahdi Shabany, P. Glenn Gulak. [doi]
- 3D position sensing using a Hopfield neural network stereo matching algorithmHouman Rastgar, Majid Ahmadi, Maher A. Sid-Ahmed. [doi]
- A VLSI spike-driven dynamic synapse which learns only when necessaryS. Mitra, Stefano Fusi, Giacomo Indiveri. [doi]
- Set-membership affine projection algorithm for echo cancellationPaulo S. R. Diniz, Rozalvo P. Braga, Stefan Werner. [doi]
- A two-stage genetic algorithm for the design and optimization of resonator/integrator based sigma-delta A/D and D/A convertersBehrouz Nowrouzian, J. Pulido-Salcedo, P. S. Wang. [doi]
- Sleep condition inferencing using simple multimodality sensorsYa-Ti Peng, Ching-Yung Lin, Ming-Ting Sun, Ming-Whei Feng. [doi]
- Communication models with distributed transmission rates and buffer sizesDavid K. Arrowsmith, Mario di Bernardo, Francesco Sorrentino. [doi]
- Balanced 3-phase analog signal processing for radio communicationsTakafumi Yamaji, Tetsuro Itakura, R. Ito, Takeshi Ueno, H. Okuni. [doi]
- Model of a true random number generator aimed at cryptographic applicationsMartin Simka, Milos Drutarovský, Viktor Fischer, J. Fayolle. [doi]
- Precoded V-BLAST for ISI MIMO channelsChun-Yang Chen, P. P. Vaidyanathan. [doi]
- Exact minimum-width multi-row transistor placement for dual and non-dual CMOS cellsTetsuya Iizuka, Makoto Ikeda, Kunihiro Asada. [doi]
- Low power LC-VCO design using direct cross-coupled cell biasingDongkyu Park, Byunghoo Jung. [doi]
- Automatic synthesis of CMOS RF front-endsGülin Tulunay, Sina Balkir. [doi]
- A 3.0V 12b 120 Msample/s CMOS pipelined ADCSang-Min Yoo, Tae-Hwan Oh, Ho-Young Lee, Kyung-Ho Moon, Jae-Whui Kim. [doi]
- A continuous-time band-pass Sigma Delta modulator implemented in 0.35µm BiCMOS using transmission linesLuis Hernandez, Enrique Prefasi, Pieter Rombouts. [doi]
- A systolic array technique for determining common approximate substringsKenneth B. Kent, Jacqueline E. Rice. [doi]
- Effects of switching power converter nonidealities in envelope elimination and restoration techniqueL. Marco, Eduard Alarcón, Dragan Maksimovic. [doi]
- Low power readout control circuit for high resolution CMOS image sensorChia-Nan Yeh, Yen-Tai Lai. [doi]
- Adaptive sliding mode control using simple adaptive control for SISO nonlinear systemsMuhammad Yasser, Agus Trisanto, Jianming Lu, Hiroo Sekiya, Takashi Yahagi. [doi]
- A semiblind receiver based upon multiple constrained subspace MUD for long-code downlink multirate DS-CDMA systemsSamphan Phrompichai, Peerapol Yuvapoositanon. [doi]
- Blind adaptive equalizer for IIR channels with common zerosMilena Radenkovic, Tamal Bose. [doi]
- H.264 native video watermarking methodShigeyuki Sakazawa, Yasuhiro Takishima, Yasuyuki Nakajima. [doi]
- Static generator model for analog power flow computationAaron St. Leger, Chika O. Nwankpa. [doi]
- Folded-current-steering DAC: an approach to low-voltage high-speed high-resolution D/A convertersS. Radiom, B. Sheikholeslami, Hamed Aminzadeh, Reza Lotfi. [doi]
- Timing-constrained yield-driven wire sizing for critical area minimizationJin-Tai Yan, Bo-Yi Chiang, Chia-Fang Lee. [doi]
- The approximation of arbitrary complex filter responsesMykhaylo A. Teplechuk, John I. Sewell. [doi]
- Wave propagation based analytical model for distributed on-chip RLC interconnectsH. J. Kadim, Lacina M. Coulibaly. [doi]
- Improving the coding of regions of interestYi-Lun Lin, Shu-Fa Lin, Homer H. Chen, Yuh-Feng Hsu. [doi]
- Adaptive tile depth filter for the depth buffer bandwidth minimization in the low power graphics systemsYou-Ming Tsao, Chi-Ling Wu, Shao-Yi Chien, Liang-Gee Chen. [doi]
- Multilevel timing-constrained full-chip routing in hierarchical quad-grid modelJin-Tai Yan, Yen-Hsiang Chen, Chia-Fang Lee, Ming-Ching Huang. [doi]
- Triangular systolic array with reduced latency for QR-decomposition of complex matricesAlexander Maltsev, V. Pestretsov, Roman Maslennikov, Alexey Khoryaev. [doi]
- Performance of a DSSS superregenerative receiver in the presence of noise and interferenceF. Xavier Moncunill-Geniz, Pere Palà-Schönwälder. [doi]
- Biomedical microimplants for sensory and motor neuroprosthesesT. Stieglitz. [doi]
- A fast dual-field modular arithmetic logic unit and its hardware implementationKazuo Sakiyama, Bart Preneel, Ingrid Verbauwhede. [doi]
- Nanometer MCML gates: models and design considerationsMassimo Alioto, Gaetano Palumbo. [doi]
- Driver s drowsiness estimation by combining EEG signal analysis and ICA-based fuzzy neural networksChin-Teng Lin, Sheng-Fu Liang, Yu-Chieh Chen, Yung-Chi Hsu, Li-Wei Ko. [doi]
- Reconfigurable crossbar switch architecture for network processorsHenrique C. Freitas, Milene Barbosa Carvalho, Alexandre Marques Amaral, Amanda R. M. Diniz, Carlos Augusto Paiva da Silva Martins, Luiz Eduardo da Silva Ramos. [doi]
- Self-calibration of gain and output match in LNAsTejasvi Das, Ponnathpur R. Mukund. [doi]
- A novel millimeter-wave multi-order LC oscillatorFred Tzeng, Payam Heydari. [doi]
- Embedded image coding using quincunx directional filter bankYilong Liu, Truong T. Nguyen, Soontorn Oraintara. [doi]
- Synthesis of a current source using a formal design methodologyAnand Pappu, Alyssa B. Apsel. [doi]
- Real-time acoustic monitoring using wireless sensor motesVisar Berisha, Homin Kwon, Andreas Spanias. [doi]
- Double change detection method for moving-object segmentation based on clusteringHaihua Liu, Xinhao Chen, Yaguang Chen, Changsheng Xie. [doi]
- Stability analysis of higher-order delta-sigma modulators using the describing function methodJaswinder Lota, Mohammed Al-Janabi, Izzet Kale. [doi]
- LNA design for on-chip RF testR. Ramzan, L. Zou, J. Dabrowski. [doi]
- 1-d cellular automaton for pseudorandom number generation and its reconfigurable hardware implementationLeonidas G. Kotoulas, D. Tsarouchis, Georgios Ch. Sirakoulis, Ioannis Andreadis. [doi]
- Quadrature-DAC based pulse generation for UWB pulse radio transceiversA. Jha, Ranjit Gharpurey, Peter R. Kinget. [doi]
- JPEG2000 for digital cinemaAli Bilgin, Michael W. Marcellin. [doi]
- Multi-source multi-path video streaming over wireless mesh networksDanjue Li, Qian Zhang, Chen-Nee Chuah, S. J. Ben Yoo. [doi]
- Low power compact design of ARIA block cipherJinsub Park, Yong-Dae Kim, Sangwoon Yang, Younggap You. [doi]
- A zero-skipping multi-symbol CAVLC decoder for MPEG-4 AVC/H.264Guo-Shiuan Yu, Tian-Sheuan Chang. [doi]
- Hardware implementation and comparison of new defuzzification techniques in fuzzy processorsH. R. Mahdiani, A. Banaiyan, Seid Mehdi Fakhraie. [doi]
- An optimal architecture for a multimode ADC, based on the cascade of a Sigma Delta modulator and a flash converterAndrea Gerosa, Andrea Bevilacqua, Andrea Neviani, Andrea Xotta. [doi]
- Adaptive TDTL with enhanced performance using sample sensing techniqueSaleh R. Al-Araji, Mahmoud Al-Qutayri, A. Al-Zaabi. [doi]
- Parametric estimation of nonlinear systems through sequences designed using DNA computationSurendran K. Shanmugam, Henry Leung. [doi]
- Soft error hardening for logic-level designsHossein Asadi, Mehdi Baradaran Tahoori. [doi]
- Improving PA efficiency by chaos-based spreading in multicarrier DS-CDMA systemsStefano Vitali, Riccardo Rovatti, Gianluca Setti. [doi]
- Improved generalized-proportionate stepsize LMS algorithms and performance analysisS. C. Chan, Y. Zhou. [doi]
- CMOS zero cross-conduction low-power driver and power MOSFETs for integrated synchronous buck converterK. H. Abed, K. Y. Wong, Marian K. Kazimierczuk. [doi]
- The global Lanczos method for MIMO interconnect order reductionsChia-Chi Chu, Ming-Hong Lai, Wu-Shiung Feng. [doi]
- A circuit design of ID-code and heartbeat signal processing blocks of a smart RFID tag for miceToshitaka Yamakawa, Takahiro Inoue, A. Nakajima, T. Yonezawa, Akio Tsuneda. [doi]
- Accurate transient response model for automatic synthesis of high-speed operational amplifiersCristiano Azzolini, P. Milanesi, Andrea Boni. [doi]
- A phase-domain 2nd-order continuous time Delta-Sigma-modulator for frequency digitizationMohammad Sharifkhani, Manoj Sachdev. [doi]
- Design of optimal quincunx filter banks for image codingYi Chen, Michael D. Adams, Wu-Sheng Lu. [doi]
- Evaluation of differential vs. single-ended sensing and asymmetric cells in 90 nm logic technology for on-chip cachesYibin Ye, Muhammad M. Khellah, Dinesh Somasekhar, Vivek De. [doi]
- Eigenvector algorithms using reference signals for blind source separation of instantaneous mixturesMitsuru Kawamoto, Kiyotaka Kohno, Yujiro Inouye. [doi]
- A frequency synthesizer realized by a transformer-based voltage-controlled oscillator for IEEE 802.11a/b/g channelsMeng-Ting Tsai, Ching-Yuan Yang. [doi]
- Multilevel flash memory on-chip error correction based on trellis coded modulationFei Sun, Siddharth Devarajan, Kenneth Rose, Tong Zhang. [doi]
- Digit-serial/parallel multipliers with improved throughput and latencyMagnus Karlsson, Mark Vesterbacka. [doi]
- Impulse noise detector using mathematical morphologyY. Ito, T. Sato, Noritaka Yamashita, Jianming Lu, Hiroo Sekiya, Takashi Yahagi. [doi]
- DSP architecture for cochlear implantsEric D. Marsman, Robert M. Senger, Gordy A. Carichner, Sundus Kubba, Michael S. McCorquodale, Richard B. Brown. [doi]
- A study of floating-point architectures for pipelined RISC processorsJ. A. P. Reyes, L. P. Alarcon, L. Alarilla Jr.. [doi]
- Video-based face authentication using appearance models and HMMsKe-Zhao Chen, Yao-Jen Chang, Chia-Wen Lin. [doi]
- Methods for estimating decoupling capacitance of nonswitching circuit blocksSani R. Nassif, Kanak Agarwal, Emrah Acar. [doi]
- A second-generation single-chip stereo imagerRalf M. Philipp, Ralph Etienne-Cummings. [doi]
- SNR-based frame-level video bit rate allocationXinhua Zhuang, Li Liu, Junqiang Lan. [doi]
- Locking range analysis for injection-locked frequency dividersZhipeng Ye, Tao Xu, Michael Peter Kennedy. [doi]
- A low complexity H.263 to H.264 transcoderTianxiao Ye, Yap-Peng Tan, Ping Xue. [doi]
- An OPLL-DDS based frequency synthesizer for DCS-1800 receiverYi-Da Wu, Chang-Ming Lai, Chih-Yuan Chou, Po-Chiun Huang. [doi]
- Wavelet based detection of moving tree branches and leaves in videoB. Ugur Töreyin, A. Enis Çetin. [doi]
- Fischlar-TRECVid-2004: combined text- and image-based searching of video archivesNoel E. O Connor, Hyowon Lee, Alan F. Smeaton, Gareth J. F. Jones, Eddie Cooke, Hervé Le Borgne, Cathal Gurrin. [doi]
- A wideband power-efficient inductive wireless link for implantable microelectronic devices using multiple carriersSuresh Atluri, Maysam Ghovanloo. [doi]
- Automatic generation of neural networks for image processingAndré Borin Soares, Altamiro Amadeu Susin, Leticia V. Guimaraes. [doi]
- A generalized study of multiphase parallel resonant inverters for high-power applicationsC. Branas, F. J. Azcondo, R. Casanueva. [doi]
- Reducing the data switching activity of serialized datastreamsMaged Ghoneima, Yehea I. Ismail, Muhammad M. Khellah, Vivek De. [doi]
- Low-power hybrid turbo decoding based on reverse calculationHye-Mi Choi, Ji-Hoon Kim, In-Cheol Park. [doi]
- Very fast programmable CNN based on FG-inverterJ. K. Molinar-Solis, Felipe Gomez-Castenada, Jose A. Moreno-Cadenas, Victor H. Ponce-Ponce. [doi]
- Algorithmic truncation of minimax polynomial coefficientsSherif A. Tawfik, Hossam A. H. Fahmy. [doi]
- A CMOS integrated linear voltage-to-pulse-delay-time converter for time based analog-to-digital convertersHolly Pekau, A. Yousif, James W. Haslett. [doi]
- A reconfigurable architecture for the FFT operator in a software radio contextA. Al Ghouwayel, Yves Louët, Jacques Palicot. [doi]
- Performance comparison of LDPC-coded FBMC and CP-OFDM in beyond 3G contextTero Ihalainen, Tobias Hidalgo Stitz, Ari Viholainen, Markku Renfors. [doi]
- On discretizing linear passive controllersRamon Costa-Castelló, Enric Fossas. [doi]
- Implementation of digital IQ imbalance compensation in OFDM WLAN receiversKuang-Hao Lin, Hsin-Lei Lin, Shih-Ming Wang, Robert C. Chang. [doi]
- A hand-held neutron detection sensor systemK. Osberg, Nathan Schemm, Sina Balkir, J. I. Brand, S. Hallbeck, P. Dowben. [doi]
- 42 power savings through glitch-reducing clocking strategy in a hearing aid applicationFlavio Carbognani, Felix Bürgin, Norbert Felber, Hubert Kaeslin, Wolfgang Fichtner. [doi]
- A novel neural network-based linearization and auto-compensation technique for sensorsJagdish Chandra Patra, Ee-Luang Ang, Pramod Kumar Meher. [doi]
- Efficient deblocking filter architecture for H.264 video codersHeng-Yao Lin, Jwu-Jin Yang, Bin-Da Liu, Jar-Ferr Yang. [doi]
- An IIR architecture for BSS in strong nonlinear convolutive environmentsDaniele Vigliano, Raffaele Parisi, Aurelio Uncini. [doi]
- On the design of two-channel 2D nonseparable multiplet perfect reconstruction filter banksK. M. Tsui, S. C. Chan. [doi]
- A 1-V 12-bit switched-op amp pipelined ADC with power optimizationM. R. Nabavi. [doi]
- Maximum likelihood disjunctive decomposition to reduced multirooted DAG for FIR filter designChip-Hong Chang, Jiajia Chen, A. Prasad Vinod. [doi]
- Hyperchaotic 3D-scroll attractors via Hermite polynomials: the Adomian decomposition approachDonato Cafagna, Giuseppe Grassi. [doi]
- Prediction of traffic in a public safety networkB. Vujicic, Hao Chen, L. Trajkovic. [doi]
- Modeling the impact of light on the performance of polycrystalline thin-film transistors at the sub-threshold regionN. P. Papadopoulos, A. A. Hatzopoulos, D. K. Papakostas, C. A. Dimitriadis, Stilianos Siskos. [doi]
- High-speed CRC design for 10 Gbps applicationsJing-Shiun Lin, Chung-Kung Lee, Ming-Der Shieh, Jun-Hong Chen. [doi]
- Coefficient bias in constant modulus adaptive filtersMaurice G. Bellanger. [doi]
- A noise-tolerant matchline scheme with XOR-based conditional keeper for energy-efficient TCAMChung-Hsien Hua, Chi-Wei Peng, Wei Hwang. [doi]
- Virtual time-variant model of the Eustachian tubeC. Antweiler, P. Vary, E. Di Martino. [doi]
- A mesochronous pipeline scheme for high performance low power digital systemsSuryanarayana Tatapudi, José G. Delgado-Frias. [doi]
- A 12-bit current steering DAC for cryogenic applicationsYuan Yao, Xuefeng Yu, Foster F. Dai, Richard C. Jaeger. [doi]
- Electron counting based high-radix multiplication in single electron tunneling technologyCor Meenderinck, Sorin Cotofana. [doi]
- Memory reduction ICFO estimation architecture for DVB-TTing-Zhen Wei, Shyh-Jye Jou, Muh-Tian Shiue. [doi]
- Linear compact CMOS OTA with multidecade tuning, -62dB IM3, -75dB SFDR, constant input range and two independent degrees of freedom for gain adjustmentMilind S. Sawant, Jaime Ramírez-Angulo, Ramón González Carvajal, Antonio J. López-Martín. [doi]
- High-voltage operational amplifier based on dual floating-gate transistorsZ. Huang, Yvon Savaria, Mohamad Sawan, R. Meinga. [doi]
- A novel low-power physical design methodology for MTCMOSXin Zhao, Yici Cai, Qiang Zhou, Xianlong Hong. [doi]
- A pipelined VLSI architecture for a list sphere decoderJin Lee, Sin-Chong Park, Sungchung Park. [doi]
- An adaptive CMOS imager with time-based compressive active-pixel responsePaul Kucher, Shantanu Chakrabartty. [doi]
- An efficient SNR scalability coding framework hybrid open-close loop FGS codingXiangyang Ji, Debin Zhao, Wen Gao, Jizheng Xu, Feng Wu. [doi]
- A new look at parameter estimation of autoregressive signals from noisy observationsWei Xing Zheng. [doi]
- Toward 1000-ch electrode array based on distributed microchip architecture for retinal prosthesisJun Ohta, Takahashi Tokuda, Keiichiro Kagawa, Akihiro Uehara, Yasuo Terasawa, K. Shodo, Takashi Fujikado, Yasuo Tano. [doi]
- A linear transconductor and its application in an analog filter in 120nm CMOSRobert Kolm, Horst Zimmermann. [doi]
- An ultra-low power silicon-on-sapphire ADC for energy-scavenging sensorsZhengming Fu, Eugenio Culurciello. [doi]
- Design methodology for hardware-efficient fault-tolerant nanoscale circuitsJie Chen, Hua Li. [doi]
- 2D scroll grid attractors from pulse-excited nonautonomous circuitsSerdar Özoguz, Ahmed S. Elwakil. [doi]
- Analog frequency response measurement in mixed-signal systemsCharles E. Stroud, Dayu Yang, Foster F. Dai. [doi]
- Generalized buffering of PTL logic stages using Boolean divisionRajesh Garg, Sunil P. Khatri. [doi]
- A parallel model combination scheme with improved delta parameter compensationGeng-xin Ning, Shu Hung Leung, Kam-keung Chu, Gang Welt. [doi]
- Blind source extraction of instantaneous noisy mixtures using a linear predictorWei Liu, Danilo P. Mandic, Andrzej Cichocki. [doi]
- Performance of pn-junction diode lumped models for circuit simulatorsT. Lopez, E. Alarcon. [doi]
- Post linearization of CMOS LNA using double cascade FETsGuochi Huang, Tae Sung Kim, Byung-Sung Kim, Mingyan Yu, Yizheng Ye. [doi]
- Interlaced pilot channel estimation in MIMO-OFDM systemsXueyuan Zhao, Xiaolin Hou. [doi]
- The extended Kalman filtering algorithm for carrier synchronization and the implementationWei-Tsen Lin, Dah-Chung Chang. [doi]
- A brief overview of multi-scroll chaotic attractors generationJinhu Lu, Guanrong Chen. [doi]
- Tracking broadband plane waves using 2D adaptive FIR fan filtersThushara K. Gunaratne, Leonard T. Bruton. [doi]
- Dynamic computation in a recurrent network of heterogeneous silicon neuronsPaul Merolla, Kwabena Boahen. [doi]
- JPWL - an extension of JPEG 2000 for wireless imagingFrédéric Dufaux, Giuseppe Baruffa, Fabrizio Frescura, Didier Nicholson. [doi]
- Simulation techniques for noise-analysis in the PLL design processJens Anders, Wolfgang Mathis. [doi]
- Towards rate-distortion tradeoff in real-time color video codingZhenzhong Chen, King Ngi Ngan. [doi]
- Realization of a low-voltage and low-power Colpitts quadrature oscillatorU. Yodprasit, Christian C. Enz. [doi]
- FIR-RAKE receiver for TD-SCDMA mobile terminalsYang Xiao, Ling-yun Lu, Moon Ho Lee. [doi]
- CNN-based algorithm for drusen identificationPaolo Checco, Fernando Corinto. [doi]
- Realization and optimization of DSP based H.264 encoderZhe Wei, Canhui Cai. [doi]
- A wide-tuning range 1.8 GHz quadrature VCO utilizing coupled ring oscillatorsBehzad Mesgarzadeh, Atila Alvandpour. [doi]
- Discontinuity-induced bifurcations in TCP/RED communication algorithmsMingjian Liu, A. Marciello, Mario di Bernardo, Ljiljana Trajkovic. [doi]
- Low-energy pixel approximation for DVI-based LCD interfacesA. Nurrachmat, Enrico Macii, Massimo Poncino. [doi]
- CMOS thermal sensing system with simplified circuits and high accuracy for biomedical applicationHo-Yin Lee, Chen-Ming Hsu, Ching-Hsing Luo. [doi]
- Localization based audio source separation by sub-band beamformingM. Khademul Islam Molla, Keikichi Hirose, Nobuaki Minematsu. [doi]
- An approach for efficient design of digital amplifiersN. Vlassopoulos, D. Reisis, G. Lentaris, G. Tombras, E. Prosalentis, N. Ritas, Kostas Tsakalis. [doi]
- Automatic substrate switching circuit for on-chip adaptive power supply systemDongsheng Ma. [doi]
- Two-dimensional CMOS image sensor characterizationI. Shcherback, R. Segal, Alexander Belenky, Orly Yadid-Pecht. [doi]
- A statistical approach to localize passive RFIDsCesare Alippi, D. Cogliati, Giovanni Vanini. [doi]
- Estimation of supply current spectrum for early noise evaluationGrzegorz Blakiewicz, Malgorzata Chrzanowska-Jeske. [doi]
- Prediction of power equipment failures based on chronological failure recordsPetar M. Djuric, Miroslav Begovic, J. Ferkel. [doi]
- A field programmable neural arrayEthan Farquhar, Christal Gordon, Paul E. Hasler. [doi]
- An argument-principle based stability criterion and application to the design of IIR digital filtersWu-Sheng Lu. [doi]
- Integrating observability don t cares in all-solution SAT solversSean Safarpour, Andreas G. Veneris, Rolf Drechsler. [doi]
- Power-oriented delay budgeting for combinational circuitsJialin Mi, Chunhong Chen, H. K. Kwan. [doi]
- Two-stage series-based neural network approach to nonlinear independent component analysisPei Gao, Li Chin Khor, Wai Lok Woo, Satnam Singh Dlay. [doi]
- 1.25/2.5-Gb/s burst-mode clock recovery circuit with a novel dual bit-rate structure in 0.18µm CMOSPyung-Su Han, Woo-Young Choi. [doi]
- Fast automatic gain control employing two compensation loop for high throughput MIMO-OFDM receiversIl-Gu Lee, Jungbo Son, Eunyoung Choi, Sok-Kyu Lee. [doi]
- Design and implementation of multi-directional grid multi-torus chaotic attractorsSimin Yu, Jinhu Lu. [doi]
- Optimum sizing of power grids for IR dropDiaaEldin Khalil, Yehea I. Ismail. [doi]
- Design of signal-adapted nonuniform filter banks using tree structureV. S. Sheeba, Elizabeth Elias. [doi]
- A CMOS monolithic implementation of a nonlinear element for arbitrary 1D map generationJie Yuan, Nabil Farhat, Jan Van der Spiegel. [doi]
- Phase measurement and adjustment of digital signals using random sampling techniqueRashed Zafar Bhatti, Monty Denneau, Jeff Draper. [doi]
- Efficient path metric access for reducing interconnect overhead in Viterbi decodersMing-Der Shieh, Tai-Ping Wang, Chien-Ming Wu, Chun-Ming Huang. [doi]
- A high-speed low-energy dynamic PLA using an input-isolation schemeReza Molavi, Shahriar Mirabbasi, Resve A. Saleh. [doi]
- Hash function based on chaotic neural networksShiguo Lian, Zhongxuan Liu, Zhen Ren, Haila Wang. [doi]
- Techniques for improving block error rate of LDPC decodersXia Zheng, Francis C. M. Lau, C. K. Tse, S. C. Wong. [doi]
- New improved CMOS class AB buffers based on differential flipped voltage followersJaime Ramírez-Angulo, S. Gupta, Ramón González Carvajal, Antonio J. López-Martín. [doi]
- Design of Mth-band FIR filters based on generalized polyphase structureChao Wu, Wei-Ping Zhu, M. N. S. Swamy. [doi]
- Mixed-signal thermometer filtering for low-complexity PLLs/DLLsGordon Allan, John Knight. [doi]
- Current controlled CDBAs (CCCDBAs)-based novel current-mode universal biquadratic filterW. Jaikla, K. Sooksood, Montree Siripruchyanun. [doi]
- Load flow based distribution system short-circuit algorithm incorporating distributed synchronous generatorsD. Y. Wang, Zivan Zabar, Dariusz Czarkowski. [doi]
- On-line calibration of offset and gain mismatch in time-interleaved ADC using a sampled-data chaotic bit-streamAlessandro Cabrini, Franco Maloberti, Riccardo Rovatti, Gianluca Setti. [doi]
- Detection of on-chip temperature gradient using a 1.5V low power CMOS temperature sensorYiming Zhai, S. B. Prakash, Marc H. Cohen, Pamela Abshire. [doi]
- A low complexity hardware architecture for motion estimationDaniel Larkin, Vlenti. Muresan, Noel E. O Connor. [doi]
- Execution time comparison of lifting-based 2D wavelet transforms implementations on a VLIW DSPKostas Masselos, Yiannis Andreopoulos, Thanos Stouraitis. [doi]
- Structural analysis of Petri nets with batch processing arcsA. Ohta, K. Kato, K. Tsuji. [doi]
- Reducing error accumulation effect in multithreaded memory systemsLei Wang, Niral Patel. [doi]
- Mutual inductance between intentional inductors: closed form expressionsRafael Escovar, Salvador Ortiz, Roberto Suaya. [doi]
- Embedded mixed-signal systems: new challenges for modeling and simulationAlain Vachoux, Christoph Grimm, Ralf Kakerow, Christian Meise. [doi]
- Video compression based on orthonormal matching pursuitsJian-Liang Lin, Wen-Liang Hwang, Soo-Chang Pei. [doi]
- An embedded low power reconfigurable fabric for finite state machine operationsZhenyu Liu, Tughrul Arslan, Ahmet T. Erdogan. [doi]
- Modeling orientation selectivity using a neuromorphic multi-chip systemElisabetta Chicca, Patrick Lichtsteiner, Tobi Delbrück, Giacomo Indiveri, Rodney J. Douglas. [doi]
- Implementation of a high-speed low-power 32-bit adder in 70nm technologyF. Kashfi, Seid Mehdi Fakhraie. [doi]
- A second generation time-to-first-spike pixel with asynchronous self power-offChen Shoushun, Amine Bermak. [doi]
- Design of a class of maximally-flat spatial filtersRadu P. Matei. [doi]
- Image sensor with general spatial processing in a 3D integrated circuit technologyViktor Gruev, Jan Van der Spiegel, Ralf M. Philipp, Ralph Etienne-Cummings. [doi]
- Improved automatic differentiation method for efficient model compilerBo Hu, C.-J. Richard Shi. [doi]
- A 65MHZ switching rate, two-stage interleaved synchronous buck converter with fully integrated output filterS. Abedinpour, B. Bakkaloglu, S. Kiaei. [doi]
- A new area-efficient 4-PAM 10 Gb/s CMOS serial link transmitterFei Yuan, Minghai Li. [doi]
- Average power sum of the near-end crosstalk couplings after near-end crosstalk cancellationRajeev C. Nongpiur, Dale J. Shpak, Andreas Antoniou. [doi]
- On the initialization of the DNMF algorithmIoan Buciu, Nikos Nikolaidis, Ioannis Pitas. [doi]
- A double-data rate (DDR) processing-in-memory (PIM) device with wideword floating-point capabilityTim Barrett, Sumit D. Mediratta, Taek-Jun Kwon, Ravinder Singh, Sachit Chandra, Jeff Sondeen, Jeffrey T. Draper. [doi]
- Optimized QPSK modulator for DVB-S applicationsGian-Carlo Cardarilli, Andrea Del Re, Marco Re, L. Simone. [doi]
- A 10-MHz channel-select filter for a multicarrier WCDMA base-stationVille Saari, Jussi Ryynänen, J. Mustola, Kari Halonen, Jarkko Jussila. [doi]
- Analysis of self mixing of transmitter interference in WCDMA receiversM. S. Khan, Naveen K. Yanduru. [doi]
- A tunable 0.5-1.3 GHz CMOS 2nd order bandpass filter with 50 Omega input-output impedance matchingV. Stornelli, G. Ferri, G. Leuzzi, A. De Marcellis. [doi]
- Emulation of power system load dynamic behavior through reconfigurable analog circuitsA. S. Deese, Chika O. Nwankpa. [doi]
- A low-ripple voltage triplerFerdinando Bedeschi, Chiara Boffino, Edoardo Bonizzoni, Osama Khouri, Giorgio Pollaccia, Claudio Resta, Guido Torelli. [doi]
- Semi-symbolic modeling and simulation of circuits and systemsDarius Grabowski, Christoph Grimm, Erich Barke. [doi]
- Model-based optimal rate control algorithm for real-time hybrid video encoderHe-Yuan Lin, Gwo Giun Lee, Ming-Jiun Wang, Drew Wei-Chi Su, Bo-Yun Lin. [doi]
- A low-power bioamplifier with a new active DC rejection schemeBenoit Gosselin, A. E. Ayoub, Mohamad Sawan. [doi]
- An all-digital Sigma-Delta-frequency discriminator of arbitrary orderEssam Atalla, Emad Hegazi, H. Sjoland, M. Marzouk Ibrahim. [doi]
- Offset compensation using unbalanced polarizationCarlos Muniz-Montero, Alejandro Díaz-Sánchez, Ramón González Carvajal. [doi]
- A 2.6mW 2fps QVGA CMOS one-chip wireless camera with digital image transmission function for capsule endoscopesS. Itoh, S. Kawahito, S. Terakawa. [doi]
- A new recursive algorithm for estimating the adaptive function coefficients autoregressive (AFAR) models in impulsive noise environmentS. C. Chan, W. Y. Lau, C. H. Leung. [doi]
- Design of FIR filters with discrete coefficients via sphere relaxationWu-Sheng Lu. [doi]
- Generalized semi-blind channel estimator for TCM-OFDM systemKa-yau Ho, Shu Hung Leung. [doi]
- A generalized signal reconstruction method for designing interpolation filtersI. L. Syllaios, Poras T. Balsara, O. E. Eliezer. [doi]
- A low power SoC bus with low-leakage and low-swing techniqueKwang-Il Oh, Seunghyun Cho, Lee-Sup Kim. [doi]
- An acoustic noise suppression system with reduced musical artifactsVictor Adrian, Bah-Hwee Gwee, Joseph Sylvester Chang. [doi]
- GES: a new image quality assessment metric based on energy features in Gabor transform domainGuangtao Zhai, Wenjun Zhang, Xiaokang Yang, Susu Yao, Yi Xu. [doi]
- A multiband CMOS RF front-end for 4G WiMAX and WLAN applicationsC. Garuda, M. Ismail. [doi]
- A perceptually optimized watermarking scheme for color visual informationChun-Hsien Chou, Kuo-Cheng Liu. [doi]
- Optimization of RF circuits by expert system monitored genetic computationG. Konstantopoulos, K. Papathanasiou, A. Samelis. [doi]
- High performance VLSI architecture of fractional motion estimation in H.264 for HDTVChangqi Yang, Satoshi Goto, Takeshi Ikenaga. [doi]
- Time-sliding suboptimal regulation of bilinear interconnected systemsManuel de la Sen, Aitor J. Garrido, J. C. Soto, Oscar Barambones, F. J. Maseda, I. Garrido. [doi]
- Linear range extension of a phase-frequency-detector with saturated outputMichail Papamichail, Dimitris Karadimas, Kostas Efstathiou, George D. Papadopoulos. [doi]
- Logic optimization for majority gate-based nanoelectronic circuitsZhi Huo, Qishan Zhang, S. Haruehanroengra, Wei Wang. [doi]
- A low-power clock frequency multiplierMd. Ibrahim Faisal, Magdy A. Bayoumi, Peiyi Zhao. [doi]
- Analog VLSI design of an adaptive neuromorphic chip for olfactory systemsThomas Jacob Koickal, Alister Hamilton, T. C. Pearce, S. L. Tan, J. A. Covington, J. W. Gardner. [doi]
- The optimum power conversion efficiency and associated gain of an LC CMOS oscillatorD. Murphy, Michael Peter Kennedy, J. Buckley, Min Qu. [doi]
- Minimal circuit and state space realization of generalized 3-D lattice-ladder discrete filtersGeorge E. Antoniou. [doi]
- Automatic within-pair-skew compensation for 6.25 Gbps differential links using wide-bandwidth delay unitsYuxiang Zheng, Jiang Li, Jin Liu, Qian Yu. [doi]
- Impact of parasitic elements on CMOS charge pumps: a numerical analysisL. Gobbi, Alessandro Cabrini, Guido Torelli. [doi]
- A low current consumption delta-sigma modulator for body-implanted chipK. Kiyoyama, Yoshinobu Tanaka, Michihisa Onoda. [doi]
- The effects of quantizer metastability on the SNR of continuous-time Sigma Delta modulators with return-to-zero switched current DACY. Le Guillou. [doi]
- A sub-mA, high-gain CMOS low-noise amplifier for 2.4 GHz applicationsTrung-Kien Nguyen, Sang-Gug Lee. [doi]
- Simultaneous area minimization and decaps insertion for power delivery network using adjoint sensitivity analysis with IEKS methodPei-Yu Huang, Yu-Min Lee, Jeng-Liang Tsai, Charlie Chung-Ping Chen. [doi]
- Retinomorphic system design in three dimensional SOI-CMOSMiriam Adlerstein Marwick, Andreas G. Andreou. [doi]
- A fast fractional pel motion estimation algorithm for H.264/MPEG-4 AVCYu-Jen Wang, Chao-Chung Cheng, Tian-Sheuan Chang. [doi]
- A 6-bit low-power compact flash ADC using current-mode threshold logic gatesShunsuke Akiyama, Takao Waho. [doi]
- Low-complexity synchronization technique with adaptive mode detection for DVB-H systemKe Liu, Wen-min Lin, Jia-ning Su, Hao Min. [doi]
- Stego-signature at logic synthesis level for digital design IP protectionAijiao Cui, Chip-Hong Chang. [doi]
- Power-adaptive operational amplifier with positive-feedback self biasingByungsub Kim, Soumyajit Mandal, Rahul Sarpeshkar. [doi]
- Developing smart video semantic sensorsVictor Sutan, Jason Cardillo, Ching-Yung Lin. [doi]
- A 1.8V, 60dB Omega 11 GHz transimpedance amplifier with strong immunity to input parasitic capacitanceSu-Jeong Sim, Jeongmin Park, Sung Min Park. [doi]
- ISECAD: an iterative simulation-equation-based opamp-design CAD toolTahereh Kahookar Toosi, Ehsan Zhian Tabasy, Hassan Sarbishaei, Reza Lotfi. [doi]
- An FPGA based SIMD processor with a vector memory unitJunho Cho, Hoseok Chang, Wonyong Sung. [doi]
- Estimation of the weighted maximum switching activity in combinational CMOS circuitsFadi A. Aloul, Assim Sagahyroon. [doi]
- Capacitance selection for digital floating-gate circuits operating in subthresholdJon Alfredsson, Bengt Oelmann. [doi]
- On deployment of overlay network for live video streamingYun Tang, Lifeng Sun, Meng Zhang, Shiqiang Yang, Yuzhuo Zhong. [doi]
- Integrating edge detector and bilateral noise filter for enhancing color imagesWen-Chung Kao, Ying-Ju Chen, Chia-Ping Shen, Chi-Wu Huang, Sheng-Yuan Lin. [doi]
- Computation of unstable limit cycles in large-scale power system modelsV. Venkatasubramanian, Yuan Li. [doi]
- Taylor-series technique for moving source localization in the presence of sensor location errorsXiaoning Lu, K. C. Ho. [doi]
- Amplitude modulation based on time-varying forced function of second-order oscillator circuitT. Maneechukate, Jeerasuda Koseeyaporn, Paramote Wardkein, Poolsak Koseeyaporn. [doi]
- An integrated countermeasure against differential power analysis for secure smart-cardsPasquale Corsonello, Stefania Perri, Martin Margala. [doi]
- A new common subexpression elimination algorithm for implementing low complexity FIR filters in software defined radio receiversR. Mahesh, A. Prasad Vinod. [doi]
- Algorithms for generation of quaternary fixed polarity arithmetic spectraCicilia C. Lozano, Bogdan J. Falkowski, Susanto Rahardja. [doi]
- Design and test strategy underlying a low-voltage analog-baseband IC for 802.11a/b/g WLAN SiP receiversPui-In Mak, Seng-Pan U., Rui Paulo Martins. [doi]
- On the sensitivity of BDDs with respect to path-related objective functionsRüdiger Ebendt, Rolf Drechsler. [doi]
- An efficient architecture for distributed resampling for high-speed particle filteringMahdi Shabany, P. Glenn Gulak. [doi]
- A differential 0.13µm CMOS active inductor for high-frequency phase shiftersM. Abdalla, G. V. Eleftheriades, Khoman Phang. [doi]
- Creating meaningful multimedia presentationsLynda Hardman, Jacco van Ossenbruggen. [doi]
- A wide band CMOS RF power detectorYijun Zhou, M. Chia Yan Wah. [doi]
- A multibit continuous time sigma delta modulator with successive-approximation quantizerLourans Samid, Yiannos Manoli. [doi]
- A bidirectional linear semi-systolic architecture for DCT-domain image resizing processorA. K. Das, S. K. Ghosh. [doi]
- An area-efficient, pulse-based interconnectSimon Hollis, Simon W. Moore. [doi]
- Scratch detection via temporal coherency analysis and removal using edge priority based interpolationM. Kemal Güllü, Oguzhan Urhan, Sarp Ertürk. [doi]
- A closed form solution to L::2::-sensitivity minimization of second-order state-space digital filtersShunsuke Yamaki, Masahide Abe, Masayuki Kawamata. [doi]
- An improved SAR controller for DLL applicationsJinn-Shyan Wang, Yi-Ming Wang, Chun-Yuan Cheng, Yu-Chai Liu. [doi]
- An ultra-low power predistortion-based FHSS transmitterE. Lopelli, Johan van der Tang, Arthur H. M. van Roermund. [doi]
- Full-duplex link implementation using dual-rail encoding and multiple-valued current-mode logicEthiopia Nigussie, Juha Plosila, Jouni Isoaho. [doi]
- Segmentation based design of serial parallel multipliersP. Bougas, A. Tsirikos, K. Anagnostopoulos, Isidoros Sideris, Kiamal Z. Pekmestzi. [doi]
- Low-voltage self-oscillating class E electronic ballast for fluorescent lampsV. G. Krizhanovski, D. V. Chernov, Marian K. Kazimierczuk. [doi]
- A study of complete stability for delayed cellular neural networksWu-Hua Chen, Wei Xing Zheng. [doi]
- Wavelet domain one-bit transform for low-complexity motion estimationSarp Ertürk, Tae-Gyu Chang. [doi]
- Traffic congestion analysis in complex networksYongxiang Xia, C. K. Tse, Francis Chi-Moon Lau, Wai Man Tam, Xiuming Shan. [doi]
- 256-channel integrated neural interface and spatio-temporal signal processorJ. N. Y. Aziz, Roman Genov, B. R. Bardakjian, M. Derchansky, P. L. Carlen. [doi]
- An optical and potential dual-image CMOS sensor for on-chip neural and DNA imaging applicationsTakahashi Tokuda, David C. Ng, A. Yamamoto, Keiichiro Kagawa, Masahiro Nunoshita, Jun Ohta. [doi]
- Subspace based blind channel estimation for space time block coded OFDM systemDaofeng Xu, Luxi Yang, Zhenya He. [doi]
- A configurable VLSI chip for DC motor control for compact, low-current robotic systemsNdubuisi Ekekwe, Ralph Etienne-Cummings, Peter Kazanzides. [doi]
- An adaptable foveating vision chipTimothy G. Constandinou, Patrick Degenaar, Christofer Toumazou. [doi]
- Robust front-end design for ultra wideband systemsD. Neumann, Michael W. Hoffman, Sina Balkir. [doi]
- A 1280×720 pixels 30 frames/s H.264/MPEG-4 AVC intra encoderChao-Chung Cheng, Chun-Wei Ku, Tian-Sheuan Chang. [doi]
- AC-DC converters with bi-directional power flow and some possible applicationsT. Israeli, I. Levin, D. Shmilovitz, S. Singer. [doi]
- Low power architectures using localised non-volatile memory and selective power shut-downRadu M. Secareanu, Olin L. Hartin. [doi]
- Analysis and evaluation of harmonic distortion in the tunnel diode oscillatorGaetano Palumbo, Melita Pennisi, Salvatore Pennisi. [doi]
- A tool for automatic design of analog circuits based on gm/I::D:: methodologyAlessandro Girardi, Fernando da Rocha Paixão Cortes, Sergio Bampi. [doi]
- A result on global convergence in finite time for nonsmooth neural networksMauro Forti, Massimo Grazzini, Paolo Nistri, Luca Pancioni. [doi]
- Power supply variation effects on timing characteristics of clocked registersWilliam R. Roberts, Dimitrios Velenis. [doi]
- Compensation of track and hold frequency response mismatches in interleaved analog to digital converters for high-speed communicationsG. C. Luna, Diego E. Crivelli, Mario Rafael Hueda, Oscar E. Agazzi. [doi]
- Least squares-based lossless image coding with edge-look-aheadLih-Jen Kau, Yuan-Pei Lin. [doi]
- Switched-capacitor track-and-hold amplifier with low sensitivity to op-amp imperfectionsH. Yoshizawa, G. C. Temes. [doi]
- Implementation of an asynchronous current-mode ADC with adaptive quantizationKati Virtanen, Mikko Pänkäälä, Mika Laiho, Ari Paasio. [doi]
- NoC monitoring: impact on the design flowCalin Ciordas, Kees Goossens, Andrei Radulescu, Twan Basten. [doi]
- An on-chip combinational decompressor for reducing test data volumeJie Don, Yu Hu, Yinhe Han, Xiaowei Li. [doi]
- Chaotic p-ary sequences with exponential auto-correlation properties based on piecewise linear mapsAkio Tsuneda. [doi]
- High-gain and high-bandwidth rail-to-rail operational amplifier with slew rate boost circuitHong-Yi Huang, Bo-Ruei Wang, Jen-Chieh Liu. [doi]
- Semi-iterative analog turbo decodingMatthieu Arzel, Fabrice Seguin, Cyril Lahuec, Michel Jézéquel. [doi]
- Reconfigurable analog interface for mixed signal SOCEric E. Fabris, Luigi Carro, Sergio Bampi. [doi]
- Non-uniform subband adaptive filtering with critical samplingMariane R. Petraglia, Paulo B. Batalheiro. [doi]
- Parametric compact models by directional moment matchingLorenzo Codecasa, Dario D Amore, Paolo Maffezzoni. [doi]
- Set-sweep programming pulse for phase-change memoriesFerdinando Bedeschi, C. Boffmo, Edoardo Bonizzoni, Claudio Resta, Guido Torelli, Daniele Zella. [doi]
- Feature-oriented multiple description image codingYilong Liu, Soontorn Oraintara. [doi]
- Low power low leakage clock gated static pulsed flip-flopA. S. Seyedi, S. H. Rasouli, A. Amirabadi, Ali Afzali-Kusha. [doi]
- Zero skew differential clock distribution networkHouman Zarrabi, Haydar Saaied, Asim J. Al-Khalili, Yvon Savaria. [doi]
- Unified motor controller based on space vector modulation techniqueT. Wiangtong, P. Dechsuwan. [doi]
- Jitter analysis of general charge sampling amplifiersLinga Reddy Cenkeramaddi, Trond Ytterdal. [doi]
- Closed-form design of maximally flat FIR fractional delay filtersSoo-Chang Pei, Huei-Shan Lin, Peng-Hua Wang. [doi]
- A model for the distortion due to switch on-resistance in sample-and-hold circuitsFrancesco Centurelli, Pietro Monsurrò, Alessandro Trifiletti. [doi]
- Vertex cache of programmable geometry processor for mobile multimedia applicationKyusik Chung, Chang-Hyo Yu, Lee-Sup Kim. [doi]
- A new Spice-oriented frequency-domain optimization techniqueMasayoshi Oda, Yoshihiro Yamagami, Yoshifumi Nishio, Junji Kawata, Akio Ushida. [doi]
- Inductor-less 10Gb/s CMOS transimpedance amplifier using source-follower regulated cascode and double three-order active feedbackCheng-Ta Chan, Oscal T.-C. Chen. [doi]
- Wavelet transforms dedicated to compress recorded ENGs from multichannel implants: comparative architectural studyC. Dumortier, Benoit Gosselin, Mohamad Sawan. [doi]
- A heuristic method for constructing hexagonal Steiner minimal trees for routing in VLSITuhina Samanta, Prasun Ghosal, Hafizur Rahaman, Parthasarathi Dasgupta. [doi]
- Innovations approach to MMSE waterfilling based equalizersRicardo Merched, Are Hjørungnes. [doi]
- Triple-rail MOS current mode logic for high-speed self-timed pipeline applicationsKuan Zhou, Yifei Luo, Sizhong Chen, A. Drake, John F. McDonald, Tong Zhang. [doi]
- Wide-range integrated gas sensor interface based on a resistance-to-number converter technique with the oscillator decoupled from the input deviceMarco Grassi, Piero Malcovati, Andrea Baschirotto. [doi]
- An ECG measurement IC using driven-right-leg circuitAlex Wong, Kong-Pang Pun, Yuan-Ting Zhang, Oliver Chiu-sing Choy. [doi]
- Efficient probabilistic sphere decoding architectureSungchung Park, Kwyro Lee, Sin-Chong Park. [doi]
- The VLSI design of de-interlacing with scene change detectionChung-Chi Lin, Chih-Jen Wei, Ming-Hwa Sheu, Huann-Keng Chiang, Chishyan Liaw. [doi]
- Normal flow measurement visual motion sensorSwati Mehta, Ralph Etienne-Cummings. [doi]
- A sufficient condition for 1D CNNs with antisymmetric templates to perform connected component detectionNorikazu Takahashi, Tetsuo Nishi. [doi]
- Multimode digital SMPS controller IC for low-power managementN. Rahman, A. Parayandeh, Kun Wang, A. Prodic. [doi]
- Co-occurrence features of multi-scale directional filter bank for texture characterizationKin-On Cheng, Ngai-Fong Law, Wan-Chi Siu. [doi]
- Analog baseband channel for GSM/UMTS/WLAN/Bluetooth reconfigurable multistandard terminalsNicola Ghittori, Andrea Vigna, Piero Malcovati, Stefano D Amico, Andrea Baschirotto. [doi]
- Resource constrained modulo scheduling for coarse-grained reconfigurable arraysGrigoris Dimitroulakos, Michalis D. Galanis, Constantinos E. Goutis. [doi]
- A low energy VLSI design of random block interleaver for 3GPP turbo decodingIndrajit Ahmed, Tughrul Arslan. [doi]
- A behavioral model of sampled-data systems in the phase-frequency transfer domain for architectural exploration of transceiversEwout Martens, Georges G. E. Gielen. [doi]
- Design and integration of a remotely programmable dental monitoring deviceJ. Van Ham, W. Claes, M. De Cooman, R. Puers, I. Naertcu, Carl Van Lierde, L. J. Beckers. [doi]
- Sensitivity analysis of nonlinear circuits using Volterra seriesGuoji Zhu, Ajoy Opal. [doi]
- Low-voltage high-linear and isolation transformer based mixer for direct conversion receiverTsung-Yu Yang, Hsin-Lung Tu, Hwann-Kaeo Chiou. [doi]
- Implementation and analysis of microwave switch in CMOS-MEMS technologyHeng-Ming Hsu, Ching-Liang Dai, Ming-Ming Hsieh, Ming-Chang Tsai, Hsuan-Jung Peng. [doi]
- Delay-dependent stability of 2D state-delayed linear systemsWojciech Paszke, James Lam, Krzysztof Galkowski, Shengyuan Xu, Eric Rogers, Anton Kummert. [doi]
- A simple design method of H::infinity:: reduced-order filters for stochastic systemsZhisheng Duan, Jingxin Zhang, Cishen Zhang, Edoardo Mosca. [doi]
- A power-efficient architecture for EBCOT tier-1 in JPEG 2000Yijun Li, Magdy A. Bayoumi. [doi]
- Robust design of hybrid filter bank A/D converters using second order cone programmingS. H. Zhao, S. C. Chan. [doi]
- A power planning model for implantable stimulatorsS. Hashemi, Mohamad Sawan, Yvon Savaria. [doi]
- Enhanced gm3 cancellation for linearity improvement in CMOS LNAsMallesh Rajashekharaiah, Parag Upadhyaya, Deuk Hyoun Heo. [doi]
- Multiuser detection based on Grover s algorithmShengmei Zhao, Jia Yao, Baoyu Zheng. [doi]
- Texture image retrieval using complex directional filter bankAn P. N. Vo, Truong T. Nguyen, Soontorn Oraintara. [doi]
- Clock jitter compensation for current steering DACsAndreas Wiesbauer, Dietmar Straussnigg, Richard Gaggl, Martin Clara, Luis Hernández, Daniel Gruber. [doi]
- Improved content adaptive update weight control in motion-compensated temporal filteringFengling Li, Nam Ling. [doi]
- Concurrent data transmission through PSTN by CDMASiyue Chen, Henry Leung. [doi]
- A 10GS/s 2V::pp:: emitter follower only track and hold amplifier in SiGe BiCMOS technologyS. Haider, S. A. Osmany, Hans Gustat, B. Heinemann. [doi]
- A low-voltage supply optoelectronic detector-receiver in a commercial silicon-based processAnand Pappu, Tao Yin, Alyssa B. Apsel. [doi]
- An adaptive algorithm for fast identification of FIR systemsDa-Zheng Feng, Wei Xing Zheng. [doi]
- Performance bounds on the constant modulus error surfaceTokunbo Ogunfunmi, Hamadi Jamali. [doi]
- A 4-Gb/s/pin current mode 4-level simultaneous bidirectional I/O with current mismatch calibrationYong Sin Kim, Sangho Shin, Sung-Mo Kang. [doi]
- A 14-bit D/A-converter with digital calibrationP. Eloranta. [doi]
- A 1V 10b 125MSample/s A/D Converter Using Cascade Amp-Sharing and Capacitance Coupling TechniuesKazutaka Honda, Masanori Furuta, Shoji Kawahito. 1031-1034 [doi]
- A Genetic Algorithm for the Design and Optimization of FRM Digital Filters Over a Canonical Double-Base Multiplier Coefficient SpacePatrick Mercier, Behrouz Nowrouzian. 3289-3292 [doi]
- An Address-Event Image Sensor NetworkThiago Teixeira, Eugenio Culurciello, Andreas G. Andreou. 4467-4470 [doi]
- Noise Effects on Performance of Signal Detection in an Analog VLSI Resonate-And Fire NeuronK. Nakada, Jun Igarashi, A. Tetsuya, H. Hayashi. 5183-5186 [doi]